在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2857|回复: 0

请教debussy的使用

[复制链接]
发表于 2005-10-21 22:24:50 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
关于在debussy的单步执行问题:
每次import design后,编译是通过的:
Cmd > Analyzing...
  source file "g:\Novas\Debussy\WORK\Afifo\afifo.v"
  source file "g:\Novas\Debussy\WORK\AFIFO\dpram.v"
  source file "g:\Novas\Debussy\WORK\AFIFO\test.v"
    included file "afifo.v"
    included file "dpram.v" - 0 error(s), 2 warning(s)
Linking... 0 error(s), 0 warning(s)
Total   0 error(s),   2 warning(s)
---Any error or warning message, please refer to "g:\Novas\Debussy\bin\Debussy.exeLog\compiler.log"---
但是当运行的时候出现:
Cmd > !run -mti vsim -c work.fifo_test novas.novas +fsdbfile+g:\Novas\Debussy\WORK\AFIFO\dump_i.fsdb
simulator catch signal 12
Process is terminated.
Warning --- No simulations.
fsdbInteractive
我知道这是由于产生不了fsdb文件的原因,我通过配置在modelsim上可以产生dump_i.fsdb,当我在debussy用nwave时,调用modelsim产生的dump_i.fsdb,后进行模拟都是没问题的.问题是我这个debussy自己是不能够产生fsdb文件,那是不是就不可以单步执行代码了?
请大侠指教!!!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-8 00:54 , Processed in 0.019903 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表