在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: estyzq

[求助] [已解决]关于edi使用的问题

[复制链接]
发表于 2015-8-26 13:44:20 | 显示全部楼层
小学生路过
发表于 2016-3-31 20:23:56 | 显示全部楼层
挺好挺好
发表于 2016-5-6 21:12:18 | 显示全部楼层
厉害!!!!!!!!!!!!!
发表于 2017-7-3 16:59:31 | 显示全部楼层
期待多一些这样的解答
发表于 2019-5-22 18:31:50 | 显示全部楼层
版主牛,学习的榜样
发表于 2019-11-28 18:11:56 | 显示全部楼层


icfbicfb 发表于 2011-12-10 19:11
主要是搞清楚为啥cts后重新load new sdc,
1) clock现在是propagated 而不是ideal mode
2) clock uncerta ...


你好icfbicfb, 我有个疑问:

关于第三点
3) clock transition现在由propagated 得到,而不是人为设定,
你提到要去掉clock transition。 那么在CTS之后的SDC中对clock端口还要定义驱动条件吗,我的理解对于clock tree内部节点上的delay,用真实的方法来计算,这时要设set_propogated_clock。但是另外当clock 从input pin进来经过的第一个clock buf , 只有定义了驱动条件,tool才可以计算出这第一个clock buf的delay, 用set_drive/set_driving_cell吧,还是直接用set_clock_transition来定义?如果用set_clock_transtion来定义,那么在CTS之后的sdc中就不用去掉set_clock_transition这条命令了,是这样吗?
发表于 2021-7-23 09:31:34 | 显示全部楼层
很棒哦
发表于 2022-12-7 17:15:24 | 显示全部楼层
厉害
发表于 2023-4-20 14:46:19 | 显示全部楼层
学习了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 23:14 , Processed in 0.026163 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表