在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2402|回复: 0

[求助] 请教高手一个综合报错的问题!谢谢

[复制链接]
发表于 2011-12-7 17:20:52 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
报的错误是:

Error: Required argument '-name' was not found (CMD-007)

Error: Required argument '-name' was not found (CMD-007)


Error: Required argument 'port_list' was not found (CMD-007)

Error: Required argument 'port_pin_list' was not found (CMD-007)



脚本如下:

#_________________________________________________

#set up path and library

set search_path
[list /home/hlcui/lib_path]

set target_library [list fs90a_c_sc_wc.db]

set link_library
[list {*} fs90a_c_sc_wc.db fs90a_c_sc_bc.db]

set symbol_library [list fs90a_c_sc.sdb]

#_______________________________________________

#design entry

analyze -format verilog des_ctrl.v

analyze -format verilog des_cal.v

analyze -format verilog des_top.v

elaborate des_top

current_design des_top

uniquify

check_design

#________________________________________________

set_wire_load_model large_wl

set_wire_load_model enclosed

set_operating_conditions WCCOM

create_clock -period 33 -waveform [list 0 16.5] clock

set_clock_latency 2.0 [get_clocks clock]

set_clock_uncertainty -setup 1.0 [get_clocks clock]

set_dont_touch_network [list clock rst]

#_________________________________________________

#input drives

set_driving_cell -lib_cell BUFFD2 -pin Z

set_drive 0 [list clock rst]

#_________________________________________________

#output load

set_load 1 [all_outputs]

#_________________________________________________

#set input & output delays

set_input_delay 10.0 -clock clock[all_inputs]

set_input_delay -max 25.0 -clock clock [all_inputs]

set_input_delay -min -2.0 -clock clock [all_inputs]

set_output_delay 10.0 -clock clock [all_outputs]

#___________________________________________________

#compile and write the database

compile

current_design des_top

write -hierarchy -output des_top.db

write -format verilog -hierarchy -output des_top.sv

#____________________________________________________

#current reports

report_timing -nworst 50

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-3 19:34 , Processed in 0.017429 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表