在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3115|回复: 3

[求助] 仿真结果和想象的不一样啊

[复制链接]
发表于 2011-10-21 16:56:45 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

305ns以后的

305ns以后的
对一个主从D触发器仿真,仿真时非门NT1设置了1个单位的时延,最后的结果如下图:

完整波形

完整波形



代码是:
`timescale 1ns/100ps;
module msdff(d,c,q,qbar);
  input d,c;
  output q,qbar;
  
  not #1 nt1(notd,d);
  not    nt2(notc,c);
  not    nt3(noty,y);
      
  nand nd1(d1,d,c),
       nd2(d2,notd,c),
       nd3(y,d1,ybar),
       nd4(ybar,y,d2),
       nd5(y1,y,notc),
       nd6(y2,noty,notc),
       nd7(q,qbar,y1),
       nd8(qbar,y2,q);
      
endmodule


module test_msdff;
  reg td1,td2,tc;
  wire tq1,tqbar1,tq2,tqbar2;
  
  msdff m1(td1,tc,tq1,tqbar1);
  msdff m2(td2,tc,tq2,tqbar2);

  always
    #5 tc = ~tc;
   
  initial
    tc = 0;
      
  initial
   begin
     td1 = 0;
     #40 td1 = 1;
     #40 td1 = 0;
     #40 td1 = 1;
     #40 td1 = 0;
     #40 td1 = 1;
     #40 td1 = 0;
     #40 td1 = 1;
     #35 td1 = 0;
     #1  td1 = 1;
     #1  td1 = 0;
     #38 $stop;
   end
   
  initial
   begin
      td2 = 0;
     #40 td2 = 1;
     #40 td2 = 0;
     #40 td2 = 1;
     #40 td2 = 0;
     #40 td2 = 1;
     #40 td2 = 0;
     #40 td2 = 1;
     #35 td2 = 0;
     #40;
   end
   
  //initial
    //$monitor("Time = %t::",$time,"d=%b,c=%b,q=%b,qbar=%b",td,tc,tq,tqbar);
     
endmodule

为什么d1和d2都是一的时候,y和noty分别为1和0呢?
 楼主| 发表于 2011-10-21 16:59:20 | 显示全部楼层
第一个图是局部的,求解释315ns到320ns之间的波形
发表于 2011-10-21 21:18:36 | 显示全部楼层
支持一下!!
发表于 2011-10-22 01:05:37 | 显示全部楼层
用这个东东ms不能仿真你的主从触发器的模型。
逻辑仿真器是基于event的,触发器的原理跟这个不太一样。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 22:00 , Processed in 0.032123 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表