在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: supercainiao

[求助] 求助:时序分析中同一时钟的不同路径问题

[复制链接]
 楼主| 发表于 2011-11-15 22:09:52 | 显示全部楼层




    谢谢陈版的建议,这是一个从constrain上解决的好办法
发表于 2011-12-13 15:08:51 | 显示全部楼层
我们也遇到同样问题。
很奇特的是:在encounter 下是完全没问题的。只在primetime 下出问题。
个人认为这是一个primetime 很久的bug 了。
发表于 2011-12-13 18:09:45 | 显示全部楼层
按照primetime 文档,ABD,ACD 也应该算reconvergent logic in clock network,但是
set CRPR 后没用。

将D点设置为generated_clock 解决了setup ,但是出现一个莫名其妙的hold violation。
 楼主| 发表于 2011-12-28 22:31:58 | 显示全部楼层
回复 13# papertiger


    个人理解 ABD,ACD 应该不算reconvergent logic in clock network,逻辑上不可能但是工具单从电路结构上看并不认为是false的
    我用的ETS同样也是这样的情况
发表于 2012-3-12 13:04:09 | 显示全部楼层
我的想法跟陈涛一样,在B和C处create_generated_clock,然后对这两个generated clock设置false path或者set_clock_ groups -logically_exclusive
发表于 2012-3-12 14:16:25 | 显示全部楼层
回复 8# 陈涛


   顶版主,这个方法好,我也使用过,此方法也适合对sdc中时钟节点定义不适合做时钟树的时候重新定义时钟
发表于 2012-6-20 18:14:16 | 显示全部楼层
回复 1# supercainiao
发表于 2013-8-26 17:28:23 | 显示全部楼层
回复 8# 陈涛


   陈大,按照你的方法,在B和C处,分别定义generated_clock,把它俩set_false_path后,是不是还要在D点加上一句set_caee_analysis呢
发表于 2013-9-13 17:29:54 | 显示全部楼层
你看下report里有没有CPPR的 recovery
发表于 2014-9-14 21:11:32 | 显示全部楼层
真心学习了,陈大的方法真好!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-2 15:37 , Processed in 0.025595 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表