在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 8733|回复: 3

[求助] 求助。关于quartus综合后,出现的时钟建立时间为负的情况

[复制链接]
发表于 2011-9-2 00:42:08 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本人最近在将一个soc(含有内核与外面的若干模块)烧入FPGA进行验证,用quartuss综合rtl级代码的时候,综合完在时序报告中显式时钟的建立时间为负的,但是我用modelsim进行前仿和后防都没问题。一般情况下建立时间不够怎么处理???
发表于 2011-9-2 10:36:45 | 显示全部楼层
找出不满足时序的路径,一般建立时间不满足要么是组合逻辑延时过长或者时钟抖动过大造成的
组合逻辑延时过长,可以考虑用DFF拆分完成。如果是时钟抖动过大看看PLL和是否走全局时钟。
发表于 2011-9-2 14:23:47 | 显示全部楼层
2#的方法是对的,一半FPGA给你综合工具给你的时序报告中会有slow model和fastmo del两种情况,如果fast model出现问题,一般是你的时钟没有走全局时钟资源的原因。如果slow model出现问题,这个可能性最大的就是逻辑路径太长造成的,也有可能是时钟的FanOut太大。当然前提是你要排除异步时钟路径的时序报告。至于为什么你的时序报告不过,而modelsim仿真没有问题,一个原因就是综合报告给你的是很保守的时序,而一般是slow model出现问题,而这个slow是在FPGA工作条件最差的情况下分析的,一般FPGA不会工作到这个条件下。还有一点就是在用modelsim后仿的时候你要用slow model仿真这样更接近你的时序要求~~~
 楼主| 发表于 2011-9-5 19:37:54 | 显示全部楼层
回复 2# MOSFET
谢谢你的知道,我现在是入门级水平,在公司里实习,做验证,本来是一个assic设计,我把它放入fpga进行板级验证,好像assic 设计的原rtl代码里有门控时钟,我想是不是门控时钟和fpga不太兼容?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 21:54 , Processed in 0.019194 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表