在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2629|回复: 3

[求助] ISE对Modelsim库编译90%处出错,紧急求租

[复制链接]
发表于 2011-8-31 17:11:02 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我装的是ISE13.1,Modelsim是6.5 SE版,在ISE工具了找到仿真库编译向导,编译modelsim的库,没有改任何选项包括路径(确定是正确的),在编译到90%时提示错误,见截图。求高手指点什么原因?是不是还有什么步骤我没做?(说明:Modelsim.ini文件已经去掉只读,modelsim也注册成功)
QQ截图20110831170947.jpg QQ截图20110831171037.jpg
发表于 2011-8-31 19:18:42 | 显示全部楼层
版本太低,换modelsim 10.0吧
 楼主| 发表于 2011-9-1 10:00:33 | 显示全部楼层
回复 2# demonsolar

   谢谢,我也怀疑是版本问题,但是那些库文件不是一样的吗?还有最新的modelsim10有破解吗?
发表于 2011-9-1 10:27:23 | 显示全部楼层
回复 3# 1988saladin


    eetop上有破解的
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 13:44 , Processed in 0.023704 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表