在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: seekerlee

[求助] SystemVerilog随机化约束的问题

[复制链接]
发表于 2011-8-30 23:43:10 | 显示全部楼层
膜拜3楼
发表于 2011-8-31 07:20:10 | 显示全部楼层
为什么不建成两部分。分别生成?是不是效率会更高一点?
发表于 2011-8-31 14:01:53 | 显示全部楼层
四个地址不相等不要在constraint里面约束,直接用randc命令, 每次random出来的值就都一样了;
发表于 2011-9-1 14:14:02 | 显示全部楼层
dfsdfasdf
发表于 2011-9-1 22:02:42 | 显示全部楼层
3楼正解。论坛里还是有葱的哈。
发表于 2012-2-7 09:46:22 | 显示全部楼层
同意2楼,不过把addr[9:0]改成randc类型的就更简单了
发表于 2012-2-7 13:30:21 | 显示全部楼层
我觉得3楼写的比较好
发表于 2014-1-6 09:56:13 | 显示全部楼层
回复 16# ghostlzy007

这个怎么写?能否写出来看一下?
发表于 2014-1-6 09:59:09 | 显示全部楼层
本帖最后由 lanmei_happy 于 2014-1-6 10:16 编辑

回复 16# ghostlzy007


   我只想出来这种,randc bit [3:0] addr[4];
constraint c_addr {
foreach(addr)
foreach(addr[j])
if(i!=j)
addr[31:10] == addr[j][31:10];
}
如果按这种写法,和3楼的就查不多了。
发表于 2014-1-15 14:33:29 | 显示全部楼层
这个方法好。。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 11:45 , Processed in 0.027639 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表