在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: austxuhui

[求助] 怎样在DC中指定特定的门来进行综合?

[复制链接]
发表于 2012-11-20 20:14:41 | 显示全部楼层
回复 10# austxuhui


   今天用到dc, 查了一下论坛,你这个方法是可以用的,我的跑通了。是不是setup里面没有写全
发表于 2022-11-2 14:24:45 | 显示全部楼层


wagewage2 发表于 2011-7-20 15:41
set all_lib_cells [get_lib_cells */*]
set use_lib_cells [get_lib_cells "*/INVD* */NAND2D* */NAND3D*  ...


按照方法做了,可以找到lib,但是优化不出来具体的网表 image.png
发表于 2024-4-24 11:29:44 | 显示全部楼层
指令输入到脚本后执行,DC会报错呀。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-6 05:39 , Processed in 0.017578 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表