在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3805|回复: 3

此类时钟如何约束

[复制链接]
发表于 2006-10-30 16:40:38 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
CLK_A、CLK_B为两个全局输入时钟,CLK_C为输出时钟,D为选择输入PIN。当D=0时,CLK_C=CLK_A,当D=1时,CLK_C=CLK_B,即:assign CLK_C=(D=0) ? CLK_A : CLK_B。现在要求输出时钟CLK_C与CLK_A或者CLK_B的延迟要尽可能小,5ns以内最好。我在没有做任何时序约束的情况下,测得CLK_C与CLK_A/CLK_B的延迟有15ns左右,这是我不能接受的。尝试用PAD TO PAD的约束,但也不理想。我用的器件是xilinx xc3s4000,该如何约束才能达到我的要求?
发表于 2006-10-30 17:08:48 | 显示全部楼层

弄清楚约束的对象

你没有弄清楚约束的对象,不管是Sequential Logic还是Comb Logic,都不是时钟,而是随时钟工作的Logic而已。

你希望的是一个很好的Clock Distribution Tree,你应当用GCK或DCM之类的FPGA built-in的module来做,而不是靠约束。
 楼主| 发表于 2006-10-31 10:14:56 | 显示全部楼层

一语惊醒梦中人

一语惊醒梦中人,我怎么就没去好好看一下datasheet呢?谢了!
发表于 2007-1-13 13:41:21 | 显示全部楼层


原帖由 Glenguo 于 2006-10-30 16:40 发表
CLK_A、CLK_B为两个全局输入时钟,CLK_C为输出时钟,D为选择输入PIN。当D=0时,CLK_C=CLK_A,当D=1时,CLK_C=CLK_B,即:assign CLK_C=(D=0) ? CLK_A : CLK_B。现在要求输出时钟CLK_C与CLK_A或者CLK_B的延迟要尽 ...


使用bufgmux,这个是xilinx fpga里的一种时钟处理资源。 解决lz所说的问题会比较合适
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 08:11 , Processed in 0.024902 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表