在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: sinva

[资料] SystemVerilog、SystemC、UVM 语法高亮(gvim)

[复制链接]
发表于 2012-8-22 12:02:12 | 显示全部楼层
thank
发表于 2012-8-22 12:03:13 | 显示全部楼层
very good
发表于 2012-8-22 13:29:13 | 显示全部楼层
For my job, gvim is my major editor for sytem verilog programming. This syntax highlight feature is very useful for me. Thank you very much.
发表于 2012-8-22 16:40:49 | 显示全部楼层
谢谢楼主分享,好东西
发表于 2012-8-26 14:01:35 | 显示全部楼层
看帖回帖,天经地义
发表于 2012-8-31 10:50:59 | 显示全部楼层
very good document!
发表于 2012-8-31 13:56:08 | 显示全部楼层
good data
发表于 2012-9-12 22:34:33 | 显示全部楼层
压缩包里面没有uvm的高亮???
发表于 2012-9-13 10:18:58 | 显示全部楼层
顶楼主,可惜没分了,楼主能给发一份么,我邮箱hainan_256@163.com!谢谢了
发表于 2012-9-27 15:19:02 | 显示全部楼层
好东西 怒顶啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-29 08:15 , Processed in 0.020173 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表