在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: Ames

[原创] 一个有符号的16位乘法器的verilog代码

[复制链接]
发表于 2012-7-7 14:21:09 | 显示全部楼层
谢谢楼主大才
发表于 2012-7-7 14:21:59 | 显示全部楼层
谢谢啊,对我太有用了
发表于 2012-7-7 15:30:01 | 显示全部楼层
支持原创啊。
发表于 2012-8-29 16:35:44 | 显示全部楼层
good                              good.
发表于 2012-9-2 16:27:57 | 显示全部楼层
参考一下...
发表于 2012-9-3 08:49:08 | 显示全部楼层
一个有符号的16位乘法器的verilog代码
发表于 2012-9-4 02:43:40 | 显示全部楼层
支持支持~~~
发表于 2012-9-19 11:37:59 | 显示全部楼层
回复 1# Ames
发表于 2012-9-23 10:23:15 | 显示全部楼层
支持原创。。。。
发表于 2012-9-25 21:55:28 | 显示全部楼层
神级作品
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 09:23 , Processed in 0.028155 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表