在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: ningwei8027

[资料] 使用VC6.0和ModelSim编译和仿真你的SystemC设计

[复制链接]
发表于 2011-9-11 20:01:12 | 显示全部楼层
我最近也在研究systemverilog和systemc两者在modelsim下建立模型,非常感谢楼主
发表于 2012-2-26 16:47:43 | 显示全部楼层
谢谢楼主!
发表于 2012-10-9 10:59:04 | 显示全部楼层
fei chang ganxie
发表于 2012-11-26 15:30:43 | 显示全部楼层
多谢楼主!!!
发表于 2012-11-27 10:14:52 | 显示全部楼层
绝世好文绝世好文
发表于 2012-11-29 10:14:12 | 显示全部楼层
学习一下
发表于 2012-12-1 19:30:17 | 显示全部楼层
有用吗????????????????
发表于 2012-12-1 21:43:35 | 显示全部楼层
看看,希望有用帮助
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 23:19 , Processed in 0.024640 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表