在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3050|回复: 5

各位帮帮忙啊~(急,在线等解答)

[复制链接]
发表于 2006-10-24 09:22:45 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我是初学者,为了我能快速做雏形产品,我采用的是绘图的方式,只是把图形绘出来了,可是不知道需要哪些文件对这个绘图进行编译校错,我想了解QUARTUS 需要哪些文件可以对绘制的示例图形进行编译,买的人民邮局出版社的FPGA/CPLD 2005年11月北京第二次印刷的说明不能详细,讲述的过于笼统简单,没办法操作,你能详细的告诉我怎么操作么???
谢谢,我急用!!!!
        我是C语言的使用者,所以我觉得入门应没那么难,可是看到你的书讲操作却过于复杂而不知道侧重点,象我按照你们的格式如例:
module __module_name
        (sele1,sele2,sele3,infre[100],fre_out);
        output        fre_out;
        input        sele1,sele2,sele3,infre[100];
        // Wire Declaration

        // Integer Declaration

        // Concurrent Assignment

        // Always Construct
endmodule
说错误两个,我不知道何故,如下提示:
Error (10170): verilog hdl syntax error at uart_transmitter.v(11) near text "]";  expecting ":", or "?", or binary operator,
Error (10112): Ignored module "__module_name" at uart_transmitter.v(1) due to previous errors
能否尽快给我一个解答

[ 本帖最后由 nakete 于 2006-10-24 10:16 编辑 ]
发表于 2006-10-24 11:08:58 | 显示全部楼层
第一个错误是语法错误,infre[100],如果是100位宽,改成infre[99:0]
第二过错误是因第一个错误,该模块被忽略
发表于 2006-10-24 11:49:10 | 显示全部楼层
最好写成 :input [99:0] infre.
 楼主| 发表于 2006-10-24 13:20:22 | 显示全部楼层
我现在改成了
module frequance
        (sele1,sele2,sele3,infre,fre_out);
        output        fre_out;
        input        sele1,sele2,sele3,infre;
        // Wire Declaration
        // Integer Declaration
        // Concurrent Assignment
        // Always Construct
endmodule
错误是这样的
Error (10170): Verilog HDL syntax error at uart_transmitter.v(11) near text "]";  expecting ":", or "?", or binary operator,
Error (10112): Ignored module "__module_name" at uart_transmitter.v(1) due to previous errors
不是那个原因的~~
我把[100]去掉了啊
发表于 2006-10-24 14:12:25 | 显示全部楼层
Verilog HDL syntax error at uart_transmitter.v(11)
看看这个模块(uart_transmitter.v)有问题没!
 楼主| 发表于 2006-10-24 14:29:10 | 显示全部楼层
uart_transmitter.v的所有程序就是刚才所贴的啊!~~~
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 06:37 , Processed in 0.040158 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表