在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1906|回复: 0

help

[复制链接]
发表于 2005-8-30 10:59:30 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
[这个贴子最后由sghxz在 2005/08/30 11:08am 第 1 次编辑]

module NEWRAM_M(ce,din,dout,unknow,addr,we,oe,oe_in,we_in);
inout  [15:0]din;
input  we_in;
input  oe_in;

output [15:0]dout;
output we;
output oe;
output ce;
output [17:0]addr;
output [1:0]unknow;
wire [1:0]unknow;
wire [15:0]dout;
wire [17:0]addr;
wire we;
wire oe;
wire [15:0]din;
wire ce;
assign unknow=2'b00;
assign we=we_in;
assign oe=oe_in;
assign addr=18'b111111010000010101;
assign din=16'b1111000001110000;
assign ce=0;
assign dout=(we==1&&oe==0)?din:16'bz;
endmodule
我写的简单的测试SRAM程序,怎么好象并没有输入啊,din为DATAI/O口,ce片选,we写信号.oe为output enabled,unknow为高低字节控制信号,dout为输出
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-16 02:35 , Processed in 0.015724 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表