在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3196|回复: 4

[原创] 行波(ripple)时钟的处理

[复制链接]
发表于 2011-3-24 16:40:11 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
假设有这样一个问题:
      有三个模块,第一个模块对晶振时钟,也就是主时钟,进行一系列操作(比如说相位、占空比调整之类),希望得到一个变换后的时钟信号;而第二个模块则是对第一个模块输出的时钟进行分频;第三个模块则是在分频后的时钟下进行工作。
      查看quartus的时序报告得出在第三个模块中存在较大的保持时间违规,这是情理中的。想请教DC、Timequest的高手们,这种情况你们一般怎么处理。
发表于 2011-3-24 16:49:38 | 显示全部楼层
1、片内的PLL做时钟的相位和变频处理。
2、主时钟产生使能信号,用时钟的上升沿去采使能信号,实现分频操作。
 楼主| 发表于 2011-3-24 16:56:02 | 显示全部楼层
谢谢您的回复。
    由于第一个模块是根据算法对时钟进行调整,故不能使用锁相环。
    我也是按照第二条做的,不过还是存在违规。现在我的想法是尝试用面积约束试一试
发表于 2011-3-27 23:02:26 | 显示全部楼层
我感觉用行波时钟还不如用gating clock呢。似乎楼主的方法也可以通过gating时钟来实现,而用gating时钟的话,时序好控制一些了。
发表于 2011-3-28 10:38:55 | 显示全部楼层
模块3采用模块1输出的输出作为时钟,采用模块2输出的分频信号作为时钟使能。你是时序违规是行波时钟引起的,应该解决行波时钟的问题,不该通过面积约束规避,这是治标不治本的
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 22:23 , Processed in 0.022039 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表