在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2154|回复: 4

[求助] DC综合求教!!!

[复制链接]
发表于 2011-3-11 17:09:11 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位大侠,我在DC中source Vhdl文件,提示
This site is not licensed for 'VHDL-Compiler'
难道DC不兼容VHDL的编译,需要用额外的编译器?
我以前用DC综合verilog的时候是没有问题的阿,请各位高手帮助下小弟
发表于 2011-3-11 20:45:35 | 显示全部楼层
你的DC没有license吧.
发表于 2011-3-11 22:17:37 | 显示全部楼层
可能是licence的问题
发表于 2011-4-18 09:16:22 | 显示全部楼层
是licence的问题,DC可以综合VHDL的东东的
发表于 2011-4-18 17:11:41 | 显示全部楼层
你是read 还是source
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 14:14 , Processed in 0.027011 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表