在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7827|回复: 8

[原创] 讨论:Formality和后仿真,为什么会一个对,一个错!!!

[复制链接]
发表于 2010-11-9 15:31:05 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
大家好,我的设计,在DC综合之后做后仿真和Formality,Formality是通过了,报告附在后面(大家也帮我判断下,报告如下,是不是真的算通过了)。但是否仿真一个Case的时候就出错了,我通过仿真波形跟踪到一个memory的写入逻辑,差了一拍,因为跟踪波形太痛苦,没有继续跟下去。DC报告中时序是正常的,没有问题(有0.02的setup的violation,但是我留了4ns的余量)。我现在感觉疑惑的是,什么情况下会导致Formality正确而后仿真不正确呢?有没有大侠有这方面的经验?
我在论坛上看到过这样一个帖子,讨论同样的问题 ,但是没有最终结果,大家可以参考:
http://bbs.eetop.cn/viewthread.php?tid=240374&page=1


以下为我Formality的结果

* Matching 结果如下:*
9883 Compare points matched by name   
1823 Compare points matched by signature analysis   
0 Compare points matched by topology   
356 Matched primary inputs, black-box outputs   
427(2083) Unmatched reference(implementation) compare points   
0(0) Unmatched reference(implementation) primary inputs, black-box outputs   
1749(33) Unmatched reference(implementation) unread points   
----------------------------------------------------------------------------------------   
Unmatched Objects                                                        REF        IMPL   
----------------------------------------------------------------------------------------   
Black-boxes (BBox)                                                        0           2   
Registers                                                               427        2083   
   DFF                                                                    45           0   
   Transparent LAT                                                         8           0   
   Clock-gate LAT                                                          0        2083   
   Constrained 0X                                                        347           0   
   Constrained 1X                                                         27           0   
****************************************************************************************


# Verification 结果如下:
Verification SUCCEEDED
   ATTENTION: RTL interpretation messages were produced during link
              of reference design.
              Verification results may disagree with a logic simulator.
-----------------------------------------------------------------------
Reference design: r:/WORK/XDPTOP
Implementation design: i:/WORK/XDPTOP
11706 Passing compare points
----------------------------------------------------------------------------------------
Matched Compare Points     BBPin    Loop   BBNet     Cut    Port     DFF     LAT   TOTAL
----------------------------------------------------------------------------------------
Passing (equivalent)         559       0      51       0      55   11036       5   11706
Failing (not equivalent)       0       0       0       0       0       0       0       0
Not Compared
  Unread                       4       0       0       0       0     497       0     501
****************************************************************************************
发表于 2010-11-10 09:37:06 | 显示全部楼层
这是有可能的啊。fm这个东西我看未必靠得住啊,大小的设计都会报告有很多not compared的地方,那么verify的结果也不大可信吧。
 楼主| 发表于 2010-11-10 15:55:58 | 显示全部楼层
怎么看具体哪些点没有被Compare呢?
发表于 2010-11-18 14:16:30 | 显示全部楼层
呵呵这种现象太正常了
发表于 2010-12-3 20:18:55 | 显示全部楼层
很可能是时序的问题
fm只验证功能不保证时序,如果时序有问题,fm过了方针也不对的。
发表于 2011-1-4 16:26:30 | 显示全部楼层
楼上的正解,保证时序应该run sta吧,formality又不能保证时序
发表于 2012-10-7 17:02:58 | 显示全部楼层
学习了,谢谢!
发表于 2012-10-9 17:37:11 | 显示全部楼层
formality只是对比逻辑功能而已,不保证时序的
发表于 2012-10-9 18:48:30 | 显示全部楼层
FM 过,Post sim 不过很正常
1. 一定要找到错误点,不要偷懒.
2. 查是不是有时序约束的例外:多周期约束或者false path.
3. 是不是hold 问题?
4. 是不是仿真模型导致的错误?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-6 16:22 , Processed in 0.052434 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表