在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2457|回复: 3

[原创] Modelsim DE & SystemVerilog

[复制链接]
发表于 2010-9-6 09:55:22 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
Modelsim DE支持Systemverilog吗?
ModelSim_Seminar_China_Sept_2010_页面_1.jpg
ModelSim_Seminar_China_Sept_2010_页面_2.jpg
发表于 2010-9-8 02:19:23 | 显示全部楼层
Thanks for your information!
发表于 2010-9-8 12:37:08 | 显示全部楼层
ModelSim DE Features:
- Native compiled, Single Kernel Simulator technology
- VHDL, Verilog, PSL, and SystemVerilog design and assertions constructs
- Intelligent, easy-to-use GUI with Tcl interface

可以的
发表于 2010-9-9 16:01:05 | 显示全部楼层
Very Good
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 02:17 , Processed in 0.022269 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表