在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4753|回复: 5

[求助] SV有没有获取系统时间的函数?

[复制链接]
发表于 2010-7-8 16:18:52 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
DPI的方式是可以的
发表于 2010-7-9 12:40:40 | 显示全部楼层
就用verilog里面的$time不行吗?
 楼主| 发表于 2010-7-9 12:50:48 | 显示全部楼层
2# gaurson
$time返回的是仿真时间
我想得到现实世界的时间
发表于 2010-7-12 16:49:37 | 显示全部楼层
只能使用DPI调用C/C++系统函数
发表于 2010-7-13 13:28:05 | 显示全部楼层
把时间打印加入到脚本里边不是很好么,按说仿真应该关心的是仿真时间吧
如果需要加标签的话,运行脚本的时候把系统时间按照参数传入进来就好了吧。。
 楼主| 发表于 2010-7-13 18:57:40 | 显示全部楼层
比如在执行到某一步的时候打印当前的时间
就可以知道从开始到执行到这一步的耗时
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 05:41 , Processed in 0.017957 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表