在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4247|回复: 9

[原创] 菜鸟来问:仿真的时候如何跟C的结果做对比

[复制链接]
发表于 2010-7-5 13:02:26 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
现在要做一个verilog 代码的仿真,需要从一个C程序中读入一个信号,然后把这个信号的处理结果与C中的处理结果相对比。该怎么做?需要用哪些函数、语句之类的??
     恐怕没说清楚,稍微示意一下:

                     |----用C处理-------|
用C读入一段数据|                         |-----比较结果
                     |----Verilog 处理---|

有没有谁做过这种?不需要差不多的,只要有仿真中使用了C的就可以来说说啊。
发表于 2010-7-5 14:19:40 | 显示全部楼层
两种方法,用systemverilog的DPI接口。
要不就直接用文件处理的方式。都打印到文件,然后再比较
 楼主| 发表于 2010-7-5 18:52:10 | 显示全部楼层
谢谢yingcui88373 。两者的输出我打算都打印到文件,两个文件作比对。可是verilog的仿真需要从C程序中读一个变量,作为输入数据。这个就不知道该怎么办了。
发表于 2010-7-6 15:40:37 | 显示全部楼层
3# oscillator_cn1

PLI
发表于 2010-7-6 16:39:14 | 显示全部楼层
3# oscillator_cn1

可以用C把这个变量的值打印到txt文件中,然后用verilog读这个文件的值就可以了。
发表于 2010-7-7 19:22:31 | 显示全部楼层
use systemc
 楼主| 发表于 2010-7-16 10:14:29 | 显示全部楼层
谢谢楼上各位,PLI的话,好像现在不怎么用了,System Verilog和systemc都包含了PLI接口,可以直接调用C的东西了。问题是:该怎么用System Verilog和systemC来调用C里面的东西呢?不要光说一句呀。有谁能稍微详细的说明一下下??另,目前采用的是5楼的办法。
发表于 2010-7-31 12:20:29 | 显示全部楼层
发表于 2010-8-10 01:01:46 | 显示全部楼层
最简单的就是直接使用DPI。通过参数传递和数据类型转换的方式,直接将C中的变量传递给SV。非常简单。
发表于 2010-8-10 15:17:07 | 显示全部楼层
DPI is
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-13 11:56 , Processed in 0.040832 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表