在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3496|回复: 11

[求助] FPGA综合仿真错误

[复制链接]
发表于 2010-4-11 11:13:24 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
Error: Design requires 1087 I/O resources -- too many to fit in 314 available in the selected device or any device in the device family
Error: Cannot find device that meets Compiler settings specifications

以上是出现的错误,请看下,谢谢
发表于 2010-4-11 15:04:54 | 显示全部楼层
你的设计里面管脚太多了,而工具支持的芯片里没有这么多管脚的,你需要把你的设计里面的无用管脚精简掉。
发表于 2010-4-11 16:15:25 | 显示全部楼层
顶楼上
发表于 2010-4-11 16:18:41 | 显示全部楼层
如果你用的是altera器件的话,可以把一些管脚设成虚拟管脚来解决这问题
发表于 2010-4-11 16:31:02 | 显示全部楼层
请问 虚拟管脚 怎么用?
发表于 2010-4-11 19:52:31 | 显示全部楼层
关注中!
发表于 2010-4-12 14:41:23 | 显示全部楼层
哦,学习了
发表于 2010-4-14 10:03:43 | 显示全部楼层
顶一楼的
发表于 2010-4-14 12:39:29 | 显示全部楼层
顶一楼的
发表于 2010-4-14 13:53:40 | 显示全部楼层
解答如1楼,如果你是编一个模块,不是最终工程,可以选一个管脚多点的片子编译,与其他模块整合后再把型号调整回来
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-29 05:33 , Processed in 0.032472 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表