在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2907|回复: 9

[求助] 关于FIFO设计求助 (Verilog)

[复制链接]
发表于 2010-2-27 00:20:01 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 ultra055012 于 2010-2-27 22:20 编辑

小弟是个新手,看了http://info.ec.hc360.com/2009/09/240954138409-3.shtml
http://www.cicmag.com/Ebook/0709/05.pdf几位前辈的文章,自己设计异步fifo,但是双端口RAM小弟不太清楚如何设计,而且在时间模拟的时候如我把空满信号回受到读写端地址产生器中会仿真不出来,不知道是不是结构和想法上有错误,请问各位高手们有没有设计过的异步FIFO可以参考一下的,小弟感激不尽

以下是小弟的源碼

module rwpr(wclk,rclk,wrst,rrst,full,empty,wradd,radd);
input wclk,rclk,full,empty,rrst,wrst;
output reg [4:0]wradd,radd;
[email=always@(posedge]always@(posedge[/email] wclk or posedge wrst)//讀地址產生程序
begin
if(wrst)
begin
  wradd<=0;

end
else
begin
  if(full == 1)//時序模擬實無法接收來自空滿產生程序的訊號
   wradd <= wradd;

  else
   wradd <= wradd+1'b1;
end
end


[email=always@(posedge]always@(posedge[/email] rclk or posedge rrst)//寫地址產生程序
begin
if(rrst)
begin
  radd<=0;

end
else
begin

  if(empty == 1)//時序模擬實無法接收來自空滿產生程序的訊號
   radd <= radd;
  else
   radd <= radd+1'b1;
end
end

endmodule

//空滿標誌產生及讀寫使能信號
module fifo_ctrl(wclk,rclk,wradd,radd,full,empty,en_wr,en_rd);
input wclk,rclk;
input [4:0]wradd,radd;
output reg  full=0,empty=1'b1,en_wr=0,en_rd=0;
[email=always@(wradd]always@(wradd[/email] or radd)
begin
  if((wradd[3:0]==radd[3:0]) && (wradd[4]!= radd[4]))
  begin
   full=1;
   en_wr=0;
   en_rd=1;
  end
  else if (radd==wradd)
  begin
   empty=1;
   en_rd=0;
   en_wr=1;
  end
  else
  begin
   empty=0;
   full=0;
   en_rd=1;
   en_wr=1;
  end
  
end
endmodule




发表于 2010-2-27 10:38:26 | 显示全部楼层
代码贴出来给大家看看,不要害羞吗,哈哈
另外关于双端口RAM一定要搞清楚的,可以看Xilinx的用户手册,不是很难的说。
发表于 2010-3-3 20:25:57 | 显示全部楼层
我也是新手啊,,,,
发表于 2010-3-4 00:06:36 | 显示全部楼层
不知道这个对你有没有帮助  http://d-waves.blogspot.com/2010/03/fifo.html
发表于 2010-3-4 10:14:09 | 显示全部楼层
异步FIFO地址比较时,应该用格雷码进行同步吧,异步时钟域很危险的。毛刺亚稳态
发表于 2010-3-4 13:36:42 | 显示全部楼层
我觉得异步FIFO最重要的就是两个clock之间的同步问题。
可以用格雷码比较地址产生full、empty信号,如果速度当求不高的话可以简单一点,直接用DFF同步两个clock之间的信号
发表于 2010-3-4 23:46:56 | 显示全部楼层
学习来了
发表于 2010-3-4 23:55:45 | 显示全部楼层
学习中。
发表于 2010-3-5 11:28:04 | 显示全部楼层
thank you
发表于 2010-3-5 11:53:47 | 显示全部楼层
sung上有两片文章关于此的,写的很详细,可以找来看看!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-22 17:26 , Processed in 0.034823 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表