在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 17988|回复: 44

[原创] 在questasim中使用vmm方法

[复制链接]
发表于 2010-1-8 10:15:02 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
以为vmm例子中data_marcos为例,这个例子比较简单,只有一个test.sv文件
这个例子在vmm库中的路径
/sv/examples/stb_lib/data_marcos
本人使用的工作环境,XP系统,questasim6.5d vmm库为在ovmworld下载的vmm-1.1.1a
使用脚步的方法跑的程序
需要编写以下两个文件:
文件一:sim.do
set VMM_HOME "E:/system verilog/vmm-1.1.1a/"
vlib work
vlog -sv +incdir+$VMM_HOME/sv+. test.sv
vsim test -sv_lib vmm_str_dpi  //之前一直没运行成功就是这个vmm_str_dpi.dll的没加进去的问题
run -all
quit

文件二:run.bat
vsim -c -do sim.do -l sim.log

将这两个文件存在data_macros文件夹下面,
也将vmm1.1.1a/shared/bin/win32的vmm_str_dpi.dll复制到这个文件中
双击run.bat就成功了

方法就是这样的,看大家还有没有跟方便的方法了
发表于 2010-1-8 22:16:48 | 显示全部楼层
这样挺好了,不过也可以set一下DPI目录。
我在win下用cygwin跑shell,不过Questa6.5b有时候识别不了cygwin下的目录。
 楼主| 发表于 2010-1-9 15:02:39 | 显示全部楼层
我尝试设置dpi的路径,但是读不了那个路径的dpi
没办法就copy到工程的目录下了
发表于 2010-1-9 16:47:36 | 显示全部楼层
gj gdugfhghg
发表于 2010-1-20 20:29:09 | 显示全部楼层
thank you
发表于 2010-1-25 23:18:11 | 显示全部楼层
哇!好羡慕你们都用上questa了!我也想下一个!
发表于 2010-1-30 10:59:11 | 显示全部楼层
楼主帮帮忙啊
我貌似还是不行啊 全按照你说的做了
编译能通过 VSIM的时候就不行
不知道你遇到过这种问题没

vlog -sv +incdir+$VMM_HOME/sv+. test.sv
# QuestaSim vlog 6.5a Compiler 2009.03 Mar 27 2009
# -- Compiling package test_sv_unit
# ** Warning: test.sv(50): Function has no return value assignment.
# -- Compiling module test
#
# Top level modules:
#         test
vsim test -sv_lib vmm_str_dpi
# vsim -sv_lib vmm_str_dpi test
# Loading G:\program\questasim\win32/novas.dll
# Loading sv_std.std
# Loading work.test_sv_unit
# Loading work.test
# Loading .\vmm_str_dpi.dll
# ** Error: (vsim-3193) Load of ".\vmm_str_dpi.dll" failed: DLL dependent library not found.
# ** Fatal: (vsim-3748) Failed to load DPI object file ".\vmm_str_dpi.dll" while trying to resolve 'vmm_str_match'.
#    Time: 0 ns  Iteration: 0  Instance: /test File: test.sv
# FATAL ERROR while loading design
# Error loading design
# Error: Error loading design
#        Pausing macro execution
# MACRO G:\project\vmm_test\sim.do PAUSED at line 3
发表于 2010-1-30 11:31:32 | 显示全部楼层
自己解决了 也和大家分享一下吧
我的问题是找不到相关的DLL文件
那就把vmm1.1.1a/shared/bin/win32下的所有DLL都拷贝到当前文件夹
当然 也可以拷贝到 questasim下的win文件夹下面
发表于 2010-1-30 12:22:34 | 显示全部楼层
先顶,再看!!
发表于 2010-1-30 12:41:06 | 显示全部楼层
拷贝到 questasim下的win文件夹下面可以吗?我试了下这么不行啊,找不到vmm_str_dpi啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 18:07 , Processed in 0.031503 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表