在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: showting

inout型信号问题

[复制链接]
发表于 2004-10-14 20:54:38 | 显示全部楼层

inout型信号问题

datab是std_logic_vector(7 downto 0)型的,赋值语句(others=>'z');编译不过去呀???????????????????????????????
 楼主| 发表于 2004-10-14 21:31:47 | 显示全部楼层

inout型信号问题

行了,呵呵...原来得用大写!!!
atuhappy版主真不知道怎么谢你!!!!!!!!!
发表于 2004-10-15 15:48:33 | 显示全部楼层

inout型信号问题

可以了就好
发表于 2004-10-19 14:35:37 | 显示全部楼层

inout型信号问题

   inout使用的时候需要设置成3态(高阻),否则无法做双向口。另外inout能做一种可读回值的输出口用。查电路图的时候应该带有bufe这个东西,否则就不是inout了。
 楼主| 发表于 2004-10-25 12:59:39 | 显示全部楼层

inout型信号问题

我将inout型信号放入进程中,根据状态机将1,0,Z附给inout型信号,编译时会出现警告说信号类型应该转换(用quartus),如果用max plus就会出现错误。这个inout信号还是没有征服,郁闷
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 09:03 , Processed in 0.020434 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表