在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2053|回复: 2

module sim &&quartus

[复制链接]
发表于 2004-9-30 16:59:20 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
救命呀!
    编了一个程序,在quartus下进行了布线后的仿真,结果正确,但在module sim 下,利用quartus产生的.vo,.sdo文件进行仿真,输出结果为零。请问各位高手,如何是好?
不胜感激
发表于 2004-10-1 10:43:45 | 显示全部楼层

module sim &&quartus

原因要具体分析才知道,后仿真不正确可以先看看是不是开头复位没有做好引起的不定态,前仿真时信号的初始态可以在代码中给出,经过布线后的模型就没有了。
 楼主| 发表于 2004-10-12 17:43:14 | 显示全部楼层

module sim &&quartus

我在仿真文件中,置了两个周期的reset信号,不知够用吗?
还有,综合后的仿真就是错误的,再次向兄弟姐妹们求救了。
谢谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 15:39 , Processed in 0.018194 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表