在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5107|回复: 4

debussy识别xilinx fpga unisim库的问题

[复制链接]
发表于 2009-11-17 23:52:08 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
用debussy调试,代码中使用了xilinx的设计原型,OSERDES,所以调用UNISIM库,
modelsim仿真通过,生成了fsdb文件,但是使用Debussy调试, import design from file的时候,发现debussy不能识别xilinx FPGA unisim库;

Can't open library unisim.
Unknown identifier: VCOMPONENTS。


以至于没法完整导入设计,请教各位,怎么解决?

已尝试多种方法,
1. 把$xilinx\vhdl\src\unisims\ 目录下的文件就是unisim_VCOMP.vhd,unisim_VPKG.vhd 和使用的在 primitive 目录下的OSERDES.vhd加进当前工程,一起导入。

2, import design from library 思路,把UNISIM目录下的文件变成成库,但是依然不行。

3.  tools - preferences-> importing design 下的选项 library directories(-y),
里面,尝试了两种思路 一:  -y D:\vhdl\unisims.lib++ -y D:\vhdl\simprims.lib++
二:$xilinx\vhdl\src\unisims\  但是都不行。


请问unisim这个库如何处理? 怎样才能顺利导入设计文件? 请过来人指点,谢谢!
发表于 2009-11-21 23:18:11 | 显示全部楼层
顶起来,同问
发表于 2009-11-22 14:36:23 | 显示全部楼层
需要在Xilinx软件下编译的库才能使用
发表于 2010-8-22 15:34:38 | 显示全部楼层
我正在尋找 Unisim package.
发表于 2011-10-10 18:20:19 | 显示全部楼层
回复 1# xang


    虽然是两年前的东西了。还是回一下。

   我把vhdl的库编译好了之后,使用起来没什么问题。

  但是,现在我用到xilinx里边的一个verilog模型的时候,很简单的一个obufds,
  居然,我发现,库已经加进去了,但是,我导入的时候,怎么都说找不到这个模型。郁闷ing
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 14:29 , Processed in 0.024937 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表