|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
高手们,我在module中使用$readmenh如下,为什么显示的out 为XXXXXXX?并且在modelsim报错** Error: E:/test/AFE8406D.dat(1): near "@": syntax error, unexpected [email=]'@'[/email], expecting "class"
代码
`include "E:/test/AFE8406D.dat"
module ttt;
reg clk;
reg [31:0] men [256:0];
reg [31:0] out;
reg reset;
integer i;
initial
begin
#0
$fopen("AFE8406D.txt");
i = 0;
clk = 0;
reset = 0;
#5
$readmemh("AFE8406D.dat",men);
#1000
reset = 1;
end
always #4 clk=~clk;
[email=always@(posedge]always@(posedge[/email] clk)
begin
if(!reset)
begin
out <= 0;
end
else
begin
out <= men;
i <= i+1;
$display("%h,%h",i,out);
if(i==256)
$stop;
end
end
endmodule
其中文件AFE8406D.dat的数据格式为
@0 00005050
@2 0000001a
@4 0000001a
@6 0000001a
@8 0000001a
@a 0000001a
@c 0000001a
@e 0000001a
@10 0000001a
@12 0000001a
@14 0000001a |
|