在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3226|回复: 4

请问一个IO口定义为 inout时,信号冲突的问题

[复制链接]
发表于 2004-8-19 10:25:22 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问一个IO口定义为 inout时,信号冲突的问题
描述:当MCUFPGA发出读取EEPROM信号时,在“MCU-ALE”信号为低时将IO口的数据锁存到A0-A7,在ALE上升沿将“29040  CE”置0,在MCU_RD线的下降沿将“8位双向IO口”置为高阻态,在RD的上升沿表示数据读取完毕,恢复初始状态,

程序:
addr_latch_Proc: process ( MCU_ALE,MCU_ALE_r)
  begin
    if (MCU_ALE='0' and MCU_ALE_r='1') then
        A_latch <= RBR;
    elsif (MCU_ALE='1'and MCU_ALE_r='0') then
   CE_29040<='0';
    end if ;
if (MCU_RD='0' and MCU_RD_r='1')then
         RBR<="ZZZZZZZZ" ;
elsif (MCU_RD='1' and MCU_RD_r='0')  then
        CE_29040<='1';
   RBR <=(others => '0');
end if ;
end processaddr_latch_Proc;

综合后:
WARNING:Xst:638 - in unit eeprom_rw Conflict on KEEP property on signal Mtridata_rbr<5> and Mtridata_rbr<7>, Mtridata_rbr<7> signal will be lost.
WARNING:Xst:638 - in unit eeprom_rw Conflict on KEEP property on signal Mtridata_rbr<5> and Mtridata_rbr<6>, Mtridata_rbr<6> signal will be lost.

哪位遇到过这种情况啊,程序该怎么改才对呢?
发表于 2004-8-19 10:30:31 | 显示全部楼层

请问一个IO口定义为 inout时,信号冲突的问题

分成两个process来处理
边沿触发
 楼主| 发表于 2004-8-19 10:49:57 | 显示全部楼层

请问一个IO口定义为 inout时,信号冲突的问题

还是报同样的警告
我是这样改的:
addr_latch_Proc: process ( MCU_ALE,MCU_ALE_r)
  begin
    if (MCU_ALE='0' and MCU_ALE_r='1') then
        A_latch <= RBR;
    elsif (MCU_ALE='1'and MCU_ALE_r='0') then
   CE_29040<='0';
    end if ;
end processaddr_latch_Proc;  
     
RBR_block_Proc: process (MCU_ALE,MCU_RD_r)
  begin
if (MCU_RD='0' and MCU_RD_r='1')then
         RBR<="ZZZZZZZZ" ;
elsif (MCU_RD='1' and MCU_RD_r='0')  then
        CE_29040<='1';
   RBR <=(others => '0');
end if ;
    end process   RBR_block_Proc ;
发表于 2004-8-19 11:42:11 | 显示全部楼层

请问一个IO口定义为 inout时,信号冲突的问题

两个process分别处理A_latch 和CE_29040
MCU-ALE下降沿锁存A_latch
上升沿处理CE_29040
发表于 2004-8-23 10:04:18 | 显示全部楼层

请问一个IO口定义为 inout时,信号冲突的问题

process 里加上rbr
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-6 14:11 , Processed in 0.037454 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表