在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7384|回复: 10

Verilog中任务的调用

[复制链接]
发表于 2003-11-19 11:54:05 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我的很多模块中都需要进行乘加运算,于是我做了两个跟乘法器,加法器通讯的任务.请问在verilog中,在不同的模块中怎样调用同一个任务?我把所有task放在一个文件中取名为task.v,然后在不同的模块中用`include去包含这个文件.但编译通不过.Verilog能在不同的模块中调用同一个任务么?
发表于 2003-11-19 12:45:15 | 显示全部楼层

Verilog中任务的调用

你的include语句是放在module ****(     );的上面还是下面?
 楼主| 发表于 2003-11-19 13:56:31 | 显示全部楼层

Verilog中任务的调用

放在模块的最上面一行.但问题的关键是task.v本身编译就通不过.
我的task.v文件如下:
//*************************************************************
//********Define multiplier and adder interface****************
//*************************************************************
task Mult1;
     input [15:0]in1;
     input [15:0]in2;
     output [15:0]a;
     output [15:0]b;
     output latch;
     
     reg [15:0]a;
     reg [15:0]b;
     reg latch;
     
     begin
        a=in1;
        b=in2;
        latch=1'b1;
     end
endtask
需要调用这个任务的模块如下:
`include "task.v"
module dsp(******);
*****
endmodule
发表于 2003-11-19 14:01:02 | 显示全部楼层

Verilog中任务的调用

改成:
module dsp(****);
`include "task.v"
*****
endmodule
发表于 2004-4-26 21:49:44 | 显示全部楼层

Verilog中任务的调用

放上放下有什么区别?
发表于 2004-6-11 12:47:37 | 显示全部楼层

Verilog中任务的调用

楼上说得有问题把?怎么能这样放?
发表于 2004-6-11 17:44:20 | 显示全部楼层

Verilog中任务的调用

是不是应该把那个模块的程序代码也应该放进去
还是和vhdl一样,可以调用其他模块
我现在就在开发一个系统设计,其中很多模块设计
怎么具体去调用
发表于 2004-6-17 12:06:57 | 显示全部楼层

Verilog中任务的调用

写成task.h吧
发表于 2004-7-5 18:04:37 | 显示全部楼层

Verilog中任务的调用

把路径写完整,或是放在同一个目录.如果是用modelsim注意放在仿真的目录.
`include
应放在MODULE前面.
发表于 2004-7-16 15:52:28 | 显示全部楼层

Verilog中任务的调用

task应该放在module里面,放在外面编译肯定通不过。
为什么要用task呢?据说task不可综合。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 08:45 , Processed in 0.033200 second(s), 12 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表