在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3997|回复: 18

新手上路:FPGA的乘法问题

[复制链接]
发表于 2009-8-24 16:11:59 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
刚开始做FPGA   现在要做乘法  也查找了不少资料  但是却有不少的疑惑  望大家帮忙解答一下
我用的是actel 的  A3P250-PQ208  语言用的是verilog
       我查到的资料说    做乘法可以用 移位相加,查找表,流水线,混和式查找表,加法器树,布思算法等多种算法,可是我现在要做的只是要把input  a与固定的数b(b是已知的)相乘,那么可不可以直接就写成,c=a*b,那么这样子时序又怎么样呢?在一个clk能完成这个工作么?
发表于 2009-8-25 09:50:39 | 显示全部楼层
如果一个乘数是固定的,何必还要用乘法器实现呢?用几个加法就行了啊
发表于 2009-8-25 15:11:13 | 显示全部楼层
同意楼上说法!
发表于 2009-8-25 16:27:34 | 显示全部楼层
理论上使用定制电路在一个clk是可以完成的,可是用fpga就不一定了
发表于 2009-8-25 16:31:38 | 显示全部楼层
如果有一个数是固定的 , 可以采用先移位 然后再想加的方法 , 移位运算可以做乘2的运算,想加可以算最后的余数
 楼主| 发表于 2009-8-25 16:44:39 | 显示全部楼层
嗯  听到LS的说法  突然就想通了  谢谢
发表于 2009-8-25 17:25:01 | 显示全部楼层
直接用IPcore三
发表于 2009-8-25 20:08:16 | 显示全部楼层
谢谢楼主!
发表于 2009-8-26 15:09:30 | 显示全部楼层
直接移位相加即可
发表于 2009-8-30 07:46:40 | 显示全部楼层
能否1个时钟做要看频率多少
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 16:32 , Processed in 0.028548 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表