在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2652|回复: 6

奇数倍分频

[复制链接]
发表于 2009-6-10 15:25:44 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
通过计数器,能够简单的得到占空比为50%的偶数倍分频, 但是要得到50%奇数倍分频业界是怎么做的啊? 请各位赐教
发表于 2009-6-10 22:49:22 | 显示全部楼层
前几天在论坛里面看了个帖子,感觉可以实现。
假设要做clk时钟的7分频,可以先做一个8分频的时钟clk1,然后在用时钟clk下降沿打一下clk1得到clk2,clk1&clk2就可以得到
发表于 2009-6-20 21:06:08 | 显示全部楼层
上升沿下降沿同时计数,中间那个不就是切换始终状态不久等分了吗
发表于 2009-6-20 21:14:23 | 显示全部楼层
如果你N分频,用上升沿下降沿一起触发计数器范围(0-2×N-1);显然当数值(0-(N-1))是一个时钟状态(L),那么(N-(2xN-1))就是另外一个时钟状态了(H)

[ 本帖最后由 ic-designer 于 2009-6-20 21:16 编辑 ]
发表于 2009-11-26 09:03:44 | 显示全部楼层
(1)用标准时钟的下降沿,会有潜在的timing或driver ability的问题
(2)用和标准时钟有严格相位关系时钟的上升沿,但对后端要求较高
发表于 2009-11-27 07:07:30 | 显示全部楼层
upup

.
发表于 2009-11-27 13:01:39 | 显示全部楼层


前几天在论坛里面看了个帖子,感觉可以实现。
假设要做clk时钟的7分频,可以先做一个8分频的时钟clk1,然后在用时钟clk下降沿打一下clk1得到clk2,clk1&clk2就可以得到
defuruier 发表于 2009-6-10 22:49


我用4分频试了一下,你的这个方法不行
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-30 21:58 , Processed in 0.023040 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表