在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
芯片精品文章合集(500篇!)    创芯人才网--重磅上线啦!
查看: 7148|回复: 9

[原创] cordic[sin/cos] vc++ source code

[复制链接]
发表于 2009-4-28 15:01:03 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 cjsb37 于 2013-4-29 09:03 编辑

CORDIC (COordinate Rotation DIgital Calculation) finds the sine or cosine of an angle iteratively, using only simple math operations such as add, subtract, compare, shift, and table lookup.














cordic.rar

75.29 KB, 下载次数: 57 , 下载积分: 资产 -2 信元, 下载支出 2 信元

说明文件和source code

发表于 2009-5-3 11:45:17 | 显示全部楼层

很实用的东西

谢谢了
发表于 2009-5-3 13:10:49 | 显示全部楼层
xia look ok
发表于 2009-6-3 11:11:06 | 显示全部楼层
Thanks for sharing!
发表于 2009-6-3 11:12:45 | 显示全部楼层
Thanks for sharing!
发表于 2009-6-5 12:06:42 | 显示全部楼层

thanks

thanks
发表于 2010-4-7 09:11:28 | 显示全部楼层
正要用这个呢  谢谢lz分享
发表于 2010-5-10 10:06:55 | 显示全部楼层
谢谢楼主,不知有没有verilog的?
发表于 2010-5-31 15:52:01 | 显示全部楼层
下载看看,希望是好东西..............
发表于 2011-9-7 23:24:05 | 显示全部楼层
谢谢咯。。。。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 13:41 , Processed in 0.041318 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表