在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2218|回复: 2

求助:这个读写地址怎么没有自动加一呢

[复制链接]
发表于 2009-4-18 19:59:08 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
写了一个很简单的同步fifo,但是仿真的时候读写地址都没有自动加一,一直保持0,大家帮帮忙看看是什么原因哪?写跟读类似,就没贴出来。
fifo_read : process(reset,clk)
   begin
      if reset = '0' then   
      rd_addr <= 0;   
      data_buffer <= (others => '0');
         elsif rising_edge(clk) then
              if (rd_pulse = '1' and empty = '0') then  --read request and fifo not empty
                 data_buffer <= fifo_memory(rd_addr);
                rd_addr<=rd_addr+1;
                if (rd_addr=m-1) then rd_addr<=0;
                end if;
             end if;
             if (rd_req='1') then
            fifo_out <=data_buffer;  
            else fifo_out <=(others=>'Z');
            end if;
  end if;
end process;

其中m是fifo的深度。

汗...,原来是rd_req和wr_req的问题。咋自己瞅半天都看出来问题在哪,一发出来就突然想到了呢,看来灵感是逼出来的哇

[ 本帖最后由 zhaoqing_cc 于 2009-4-18 20:16 编辑 ]
发表于 2009-4-24 13:57:42 | 显示全部楼层
汗一个,抢个沙发吧
发表于 2009-4-24 14:06:39 | 显示全部楼层

re

ding!!!!!!!!!!!!!!!!!!!!!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-14 20:58 , Processed in 0.022214 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表