在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 28510|回复: 168

Verification Methodology Manual for SystemVerilog.

[复制链接]
发表于 2009-3-12 20:34:58 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
Functional verification remains one of the single biggest challenges in the development of complex system-on-chip (SoC) devices. Despite the introduction of successive new technologies, the gap between design capability and verification confidence continues to widen. The biggest problem is that these diverse new technologies have led to a proliferation of verification point tools, most with their own languages and methodologies. Fortunately, a solution is at hand. Systemverilog is a unified language that serves both design and verification engineers by including RTL design constructs, assertions and a rich set of verification constructs. SystemVerilog is an industry standard that is well supported by a wide range of verification tools and platforms. A single language fosters the development of a unified simulation-based verification tool or platform. Consolidation of point tools into a unified platform and convergence to a unified language enable the development of a unified verification methodology that can be used on a wide range of SoC projects. arm and Synopsys have worked together to define just such a methodology in the Verification Methodology Manual for SystemVerilog. This book is based upon best verification practices by ARM, Synopsys and their customers. Verification Methodology Manual for SystemVerilog is a blueprint for verification success, guiding SoC teams in building a reusable verification environment taking full advantage of design-for-verification techniques, constrained-random stimulus generation, coverage-driven verification, formal verification and other advanced technologies to help solve their current and future verification problems. This book is appropriate for anyone involved in the design or verification of a complex chip or anyone who would like to know more about the capabilities of SystemVerilog. Following the Verification Methodology Manual for SystemVerilog will give SoC development teams and project managers the confidence needed to tape out a complex design, secure in the knowledge that the chip will function correctly in the real world.

Verification Methodology Manual for SystemVerilog
Author: Janick Bergeron, Eduard Cerny, Alan Hunter, Andy Nightingale
Edition: illustrated
Springer, 2006
ISBN 0387255389, 9780387255385
503 pages

Verification Methodology Manual for SystemVerilog.pdf

4.22 MB, 下载次数: 1963 , 下载积分: 资产 -3 信元, 下载支出 3 信元

头像被屏蔽
发表于 2009-3-13 12:13:07 | 显示全部楼层
提示: 作者被禁止或删除 内容自动屏蔽
发表于 2009-3-26 12:58:04 | 显示全部楼层
好书好人好论坛
发表于 2009-4-13 03:47:41 | 显示全部楼层
謝謝樓主發帖,頂上去。
謝謝樓主共享,頂上去。
謝謝樓主共享,頂上去。
发表于 2009-4-16 20:29:14 | 显示全部楼层
终于找着啦....
发表于 2009-4-18 23:28:51 | 显示全部楼层
Thanks a lot !!!!!!!!!!!!!!!!!!
发表于 2009-5-5 16:53:28 | 显示全部楼层
3ku!!!!!!!!!!!
发表于 2009-5-18 21:59:54 | 显示全部楼层
谢谢楼主!
发表于 2009-6-15 15:40:07 | 显示全部楼层
不错 支持一下
发表于 2009-6-29 12:04:32 | 显示全部楼层
thanks
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 20:05 , Processed in 0.033838 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表