在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
芯片精品文章合集(500篇!) 创芯人才网--重磅上线啦!
查看: 3382|回复: 2

[原创] [求助][原创]请教一个CPLD的EPM7128S的问题

[复制链接]
发表于 2006-5-8 12:19:45 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 cjsb37 于 2013-4-29 09:17 编辑

我的系统使用的有5V和3.3V的器件,所以想通过EPM7128S来实现电平转换,但是同时发现输出有些需要上拉到5V的引脚需要设置成集电极开路方式才行,请教一下各位大虾怎么设置CPLD的集电极开路方式?
再有一个问题就是我的CPLD在系统上电一小会之后就开始发热,过会就会挺热的,但是倒不至于烧掉,请问这是什么原因呢?有什么解决办法吗?谢谢各位大虾了。





发表于 2006-5-8 12:56:52 | 显示全部楼层

[求助][原创]请教一个CPLD的EPM7128S的问题

你加约束的时候可以配置输出方式,,建议参考软件应用手册,,
工作频率对发烫贡献很大,,如果工作频率不是很高,,就要看看硬件上的问题了。。
 楼主| 发表于 2006-5-8 13:11:23 | 显示全部楼层

[求助][原创]请教一个CPLD的EPM7128S的问题

我的CPLD接的是DSP的LF2407A,工作频率是40M,我对CPLD的操作的时钟其实就是DSP的写有效信号,我只是利用了那个写有效信号的上升沿来实现数据传输的,这个影响大吗?
再就是你说的约束条件从哪里加呢,我用的是maxplus ii编译环境,具体怎么操作呢?谢谢版主了。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-28 03:24 , Processed in 0.019674 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表