在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3340|回复: 2

FPGA rom 的问题

[复制链接]
发表于 2008-12-13 23:35:57 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
您好,我用写了个verilog 的代码,功能仿真通过了,FPGA综合后仿真时发现,rom读出来的内容跟初始化的TXT文档里的内容完全不一样,好纳闷啊,怎么也搞不出来,代码的方式我都是按照模板来写的。非常心急,恳请指教一二,不胜感激!以下是rom的代码:
`timescale 1ns/1ps
//`default_nettype none    //4426  4096+512
module WAV12_addr_rom
#(parameter datwid=84,
            addrwid=13)
(
input logic               DDR2_CLK,
input logic [addrwid-1:0] ADDR,
output logic [datwid-1:0] DATOUT
);

logic [datwid-1:0] DATOUT_A,DATOUT_B;
logic  romsel;      
  
always@(posedge DDR2_CLK)
begin
   romsel<=ADDR[addrwid-1];
        if(romsel==1'b0)
        DATOUT<=DATOUT_A;
        else
        DATOUT<=DATOUT_B;
end

logic [datwid-1:0] rom_a [0:4095];
logic [datwid-1:0] rom_b [0:511 ];

initial
begin
        $readmemb("WAV_1_2_GEN1_a.txt",rom_a);
        $readmemb("WAV_1_2_GEN1_b.txt",rom_b);
end

always@(posedge DDR2_CLK)
begin
        DATOUT_A<=rom_a[ADDR[addrwid-2:0]];
        DATOUT_B<=rom_b[ADDR[addrwid-5:0]];
end

  
endmodule
发表于 2008-12-14 13:08:53 | 显示全部楼层
$readmem对综合器来说是透明的~~那样写rom也只是功能测试时才有效~
 楼主| 发表于 2008-12-14 18:49:29 | 显示全部楼层
谢谢你的答复,我试了用$readmemb("aa.txt",0,511);这样的指令也是一样的答案,
而且,当我把位宽设为40时,后仿真的结果又是正确的,是不是位宽太大了引起的延时的原因啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 00:30 , Processed in 0.025966 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表