在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 10421|回复: 4

Verilog 如何调用VHDL模块

[复制链接]
发表于 2008-12-12 21:22:20 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
verilog 如何调用Vhdl模块? 用VHDL不多,不太熟悉VHDL, 有时候需要在verilog中调用VHDL模块,不懂呀! 知道的朋友过来help一下下! Thanks a lot !
发表于 2008-12-13 01:30:24 | 显示全部楼层
帮忙顶
发表于 2009-3-30 15:24:40 | 显示全部楼层
VHDL调用Verilog模块的时候,要在实例化模块前,加上“verilogmodelGM: ”
VHDL调用verlog
verilog module:
module m(a,b,c);
input a,b;
output c;
...
endmodule

调用如下:
compoent m
port(
                        a: in std_logic;
                        b: in  std_logic;
                        c: out std_logic
                      );
end compoent
begin
verilogmodelGE: m
port map
(...
)
...
end
在VHDL里调用Verilog的话:例化+映射
在Verilog里调用VHDL的话:只要映射

看的别人的。。。
发表于 2011-10-2 12:05:39 | 显示全部楼层
vhdl调用verilog~汗
发表于 2014-11-27 10:10:04 | 显示全部楼层




    LZ,你的问题解决没?我也用到同样的问题,虽然在tb里例化了vhdl模块,但是在波形里面找不到调用vhdl模块的信号,非常奇怪啊。你是怎么解决的呢?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-5 00:49 , Processed in 0.027207 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表