在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: hjw951

VHDL问题,想不明白只好求教了

[复制链接]
发表于 2009-6-2 21:00:24 | 显示全部楼层
In FPGA, we actually use a clk for input. Your code is not assign bit width and not a clock. It is very hard to synthesize.
发表于 2009-6-6 18:08:32 | 显示全部楼层
這樣很難run喔.....改一下吧
发表于 2009-6-11 22:10:36 | 显示全部楼层
工具的作用是减少你的工作量,你不好好对待工具,当然罢工了,学学别人的代码,学学硬件基础。
发表于 2009-11-5 20:08:41 | 显示全部楼层
逻辑不对,每次启动进程都要重新定义一个寄存器,这样不能保证上次的值,而且启动的时候还没有值,这样无法编译的。建议改成信号求和。同时考虑下上楼的关于全局时钟的说法。
发表于 2009-11-5 22:47:17 | 显示全部楼层
摆脱 先学好基本功 搞清楚啥是HDL 再来谈如何设计
发表于 2009-11-6 04:20:48 | 显示全部楼层
这个VHDL很愚蠢的,不要自由地想写啥就写啥,要按规矩来,你那么写code编译器搞不懂的。
发表于 2009-11-6 17:03:01 | 显示全部楼层
阿哦。。。
你用了三个clock来同时控制一个reg的计算赋值,这个工具要疯掉的
最好引入一个clock信号来分别采one/two/three_yuan,进行处理。
不难的,如果你理解了基本综合原理,就不会写这样的代码了。

加油学习吧!
发表于 2010-4-14 15:51:48 | 显示全部楼层
。。。。。。。。。
发表于 2010-4-20 14:51:13 | 显示全部楼层
你三个输入信号都不是时钟输入脚,你代码中把它们都当做时钟输入使用,结果是have_pay<=pay_tmp; 这句话变成了组合逻辑而不是时序逻辑,编译的时候会出错的。你可以试着把have_pay<=pay_tmp; 这句话放到PROCESS的外面。
发表于 2010-6-4 19:13:24 | 显示全部楼层
呵呵 这个还是得用时钟变量
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-11 01:42 , Processed in 0.026349 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表