在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2386|回复: 6

XC2S100中的全局时钟的使用

[复制链接]
发表于 2003-11-12 14:57:10 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问在XC2S100中的全局时钟可以随意分配吗?
我在使用UCF来定义GCLK0来作为时钟输入,但是并没有起效,在floorplan design中观察,GCLK0并没有被分配.
如何才能把全局时钟输入用起来呢?
发表于 2003-11-12 16:42:58 | 显示全部楼层

XC2S100中的全局时钟的使用

我不知道你想怎么指定?如果是输入脚上,那么只有固定的4个引脚可以加。如果是芯片内部的中间信号,你可以直接在源代码中例化bufg这个器件来使用。
 楼主| 发表于 2003-11-13 08:54:29 | 显示全部楼层

XC2S100中的全局时钟的使用

程序如下,如何把CLK0分配到GCLK0:
module pwm (CLKIN, CLK0);
input CLKIN;
output CLK0;
assign CLK0 = CLKIN;
endmodule
发表于 2003-11-13 09:56:04 | 显示全部楼层

XC2S100中的全局时钟的使用

例化一个BUFGP就可以了。
 楼主| 发表于 2003-11-13 13:23:35 | 显示全部楼层

XC2S100中的全局时钟的使用

但这样也不行啊
module pwm (CLKIN, CLK0);
input CLKIN;
output CLK0;
wire CLKIN_w;
IBUFG clkpad (.I(CLKIN), .O(CLKIN_w));
assign CLK0 = CLKIN_w;
endmodule
发表于 2003-11-13 17:55:16 | 显示全部楼层

XC2S100中的全局时钟的使用

如果用ibufg的话,CLKIN只能定义在少数几个管脚上。请检查一下你的管脚定义文件。
发表于 2003-12-20 01:03:07 | 显示全部楼层

XC2S100中的全局时钟的使用

IBUFG说明对应的是全局时钟管脚,BUFG对应的是全局时钟网络
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 04:29 , Processed in 0.023948 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表