在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: fanqiongjian

是否可以用cpld实现类似74LS74的逻辑关系?

[复制链接]
 楼主| 发表于 2003-10-30 20:11:30 | 显示全部楼层

是否可以用cpld实现类似74LS74的逻辑关系?

不好意思,我看错行了,这个错误已经改过了。谢谢
 楼主| 发表于 2003-10-30 20:46:35 | 显示全部楼层

是否可以用cpld实现类似74LS74的逻辑关系?

还有问题:我想q2既输出与q1#相“与”得到发送同步幀头,同时又反过来输出另一个DFF的d1(即输入端),好像这样做不可以
topld:  cpld.vhd:  (E463) 'q2' -- Can't handle registered multi driver.

5_562_11.doc

23 KB, 下载次数: 3 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2003-10-31 10:43:36 | 显示全部楼层

是否可以用cpld实现类似74LS74的逻辑关系?

of course it can do.
 楼主| 发表于 2003-10-31 10:53:53 | 显示全部楼层

是否可以用cpld实现类似74LS74的逻辑关系?

你的意思,q2既可以做输入也可以做输出?不过编译通不过啊!!!
发表于 2003-10-31 11:15:40 | 显示全部楼层

是否可以用cpld实现类似74LS74的逻辑关系?



下面引用由fanqiongjian2003/10/28 04:55pm 发表的内容:
74ls74是带复位和置位的双上升沿触发的D触发器

可以阿,quartus II中有,原理图下直接输入7474就可以了
 楼主| 发表于 2003-10-31 16:49:38 | 显示全部楼层

是否可以用cpld实现类似74LS74的逻辑关系?

我没有用原理图输入,不知道用程序调用应该如何写,另外我怎么知道warp2中有没有7474,这是cypress公司的cpld芯片的开发环境。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-16 17:25 , Processed in 0.020485 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表