在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3984|回复: 9

求助:在ISE中无法调用Synplify

[复制链接]
发表于 2008-4-25 23:06:37 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我的ISE是9.2i的版本,Synplify用9.0.2和8.8.0.4都试过,Synplify可以单独打开,但是在ISE中调用 Synplify,在点了run之后就没有反应了,什么提示都没有,单位同样的机子和系统,软件都是正版的, 在别人的电脑上试就可以,软件太多,实在不想重装系统了,请问有哪位大虾知道怎么解决吗?在此先谢 过了,急切求助!
发表于 2008-5-3 02:38:57 | 显示全部楼层

建议使用xflow

如果用GUI有问题的,建议使用xilinx 的xflow,想怎么控制就怎么控制,绝对自由.
发表于 2010-8-27 23:19:58 | 显示全部楼层
如果用GUI有问题的,建议使用xilinx 的xflow,想怎么控制就怎么控制,绝对自由.
发表于 2011-10-13 14:29:49 | 显示全部楼层
如何使用Xilinx的Xflow?
发表于 2011-11-4 11:26:11 | 显示全部楼层
同问啊
发表于 2011-11-8 11:10:37 | 显示全部楼层
分两步走:
1.synlify的产生的netlist
2.netlist给ise去pr
发表于 2011-11-9 18:23:48 | 显示全部楼层
用synlify生成edf文件,然后给ise去做PR就可以了,这样不需要直接调用软件了
发表于 2011-11-29 15:49:19 | 显示全部楼层
不会吧,我用9.6.2还好
发表于 2012-10-19 23:26:43 | 显示全部楼层
我用ISE13.4调用Synplify 2011.03也出现了这个问题,点RUN后,综合的图标显示在转动,但就是一直综合不完...求达人解答下怎么处理这个问题
发表于 2012-10-20 11:15:19 | 显示全部楼层
一直使用ISE自带的综合工具 关联设置了么
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-27 06:42 , Processed in 0.069499 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表