在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
芯片精品文章合集(500篇!)    创芯人才网--重磅上线啦!
查看: 5044|回复: 11

几个硬件研发面试经典问题 (试着回答一下)

[复制链接]
发表于 2004-2-25 21:54:42 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
汉王笔试
下面是一些基本的数字电路知识问题,请简要回答之。
a) 什么是Setup 和Holdup时间?
b) 什么是竞争与冒险现象?怎样判断?如何消除?
c) 请画出用D触发器实现2倍分频的逻辑电路?
d) 什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?
e) 什么是同步逻辑和异步逻辑?
f) 请画出微机接口电路中,典型的输入设备与微机接口逻辑示意图(数据接口、控制接口、所存器/缓冲器)。
g) 你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗?
2、 可编程逻辑器件在现代电子设计中越来越重要,请问:
a) 你所知道的可编程逻辑器件有哪些?
b) 试用Vhdlverilog、ABLE描述8位D触发器逻辑。
3、 设想你将设计完成一个电子电路方案。请简述用EDA软件(如PROTEL)进行设计(包
括原理图和PCB图)到调试出样机的整个过程。在各环节应注意哪些问题?
飞利浦-大唐笔试归来
1,用逻辑们和cmos电路实现ab+cd
2. 用一个二选一mux和一个inv实现异或
3. 给了reg的setup,hold时间,求中间组合逻辑的delay范围。
4. 如何解决亚稳态
5. 用verilog/vhdl写一个fifo控制器
6. 用verilog/vddl检测stream中的特定字符串

信威dsp软件面试题~
)DSP和通用处理器在结构上有什么不同,请简要画出你熟悉
的一种DSP结构图
2)说说定点DSP和浮点DSP的定义(或者说出他们的区别)
3)说说你对循环寻址和位反序寻址的理解
4)请写出【-8,7】的二进制补码,和二进制偏置码。
用Q15表示出0.5和-0.5
扬智电子笔试
第一题:用mos管搭出一个二输入与非门。
第二题:集成电路前段设计流程,写出相关的工具。
第三题:名词IRQ,BIOS,USB,VHDL,SDR
第四题:unix 命令cp -r, rm,uname
第五题:用波形表示D触发器的功能
第六题:写异步D触发器的verilog module
第七题:What is PC Chipset?
第八题:用传输门和倒向器搭一个边沿触发器
第九题:画状态机,接受1,2,5分钱的卖报机,每份报纸5分钱。

发表于 2004-2-26 09:41:37 | 显示全部楼层

几个硬件研发面试经典问题 (试着回答一下)

大部分都是很基础的东西,只是。。。唉。。。有些东西记不清楚了
发表于 2004-2-26 17:07:27 | 显示全部楼层

几个硬件研发面试经典问题 (试着回答一下)

基本上都不会!!!!
大部分不会。
都不会。
我完了!!!!
发表于 2004-2-26 17:09:41 | 显示全部楼层

几个硬件研发面试经典问题 (试着回答一下)

哪位大虾给个正确答案??
长长见识。。 唉。
我都学过什么呀?
 楼主| 发表于 2004-2-26 18:27:44 | 显示全部楼层

几个硬件研发面试经典问题 (试着回答一下)

各位斑竹,发扬一下你们助人为乐的精神,帮忙解答一下,让我们这些菜鸟们长长见识啊
发表于 2004-2-27 11:11:29 | 显示全部楼层

几个硬件研发面试经典问题 (试着回答一下)

***** 版主模式 *****
移到人气旺旺的地方,大家一起来答吧,嘿嘿。。。。
<a href=topic.cgi?forum=8&topic=1599>该贴子已被管理员转移,请点击这里查看</a>
发表于 2005-3-10 12:49:18 | 显示全部楼层

几个硬件研发面试经典问题 (试着回答一下)

完了,完了,都不会
找不到工作了
发表于 2005-3-20 21:14:38 | 显示全部楼层

几个硬件研发面试经典问题 (试着回答一下)

有很多书上见过
只能理解很少
发表于 2005-3-22 21:56:40 | 显示全部楼层

几个硬件研发面试经典问题 (试着回答一下)

哈哈,都是基础嘛,只是有些不记得,但是这些东西有好大意义哪?随便翻一下书就晓得
发表于 2005-3-25 10:38:55 | 显示全部楼层

几个硬件研发面试经典问题 (试着回答一下)

如果开卷还差不多
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-12 01:18 , Processed in 0.031339 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表