在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2707|回复: 3

[求助]有关FPQA

[复制链接]
发表于 2003-10-24 10:59:34 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我是FPGA的初学者,以前主要做模拟电路,现在要把产品数字化,所以涉及到了一些可编程器件。我有8套结构相同的逻辑电路,每套输入输出共13个引脚,现在要把这些电路都集成到一个片子上,根据同学的介绍和推荐,我结合实际需要选择了silinx公司的95144。因为对单片机基础比较薄弱,所以在电路设计的一开始有点心虚,有几个小问题,希望高手指点:
1.我的逻辑电路很简单,每套电路由几个非门和两个RS锁存器构成,没有用到时钟,那么是不是只要定义好输入输出口就可以了,几个复用的I/O口也可以简单作为输入输出口?
2.TMS/TDI/TDO/TCK 这四个引脚怎么接,怎么连到外输出口上,接口电路以及接口方式?
3.我除了购买一片95144以外,还需要购买什么附件,比如下载线什么的,什么型号,以及通过什么方式加载程序?
4.软件编译环境是不是在网上下载就行了?
5.除了95144芯片本身和一根下载线我还需要购买和了解什么?
6.95144一片多少钱,在南京华龙能买到么?
由于本人初学,问的问题比较琐碎,但是实在是急需指点。我们实验室主要做功率电路,几乎没有用过CPLD和FPGA等,急需指点,在此万谢!
wlj303@163.com
发表于 2003-10-24 11:59:49 | 显示全部楼层

[求助]有关FPQA

1。“几个复用的I/O口也可以简单作为输入输出口” 是什么意思?
2。这几个管脚是jtag下载用的,具体电路参见datasheet
3。95144是cpld,就用jtag下载就行了,要用一条下载线,网上找得到原理图,可以自己做,也可以找xilinx或其他公司买。
4。软件官方网上可以下,但是需要找一个license(网上也可以找到)。
5。基本上可以不需要其他硬件了,但是datasheet要多读。
发表于 2003-10-24 12:07:24 | 显示全部楼层

[求助]有关FPQA

建议你使用95144xl,价格在北京45元可以买到, 95144-10 TQ100的
发表于 2003-10-24 13:15:42 | 显示全部楼层

[求助]有关FPQA

这样的电路是不是用原理图输入比较简便啊,^_^
只是问问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-27 14:58 , Processed in 0.132459 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表