在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3550|回复: 4

FPGA上的RESET引脚是不是只是chip的reset?

[复制链接]
发表于 2003-10-24 10:28:50 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
这个RESET只不过是FPGA chip本身的reset引脚,而不能作为user design 的reset引脚使用?并且,如果不用STARTUP block的话,自己的module A(reset,A,B)中的reset信号应该怎样在加电后自动产生由0到1的变化呢?
发表于 2003-10-24 10:46:45 | 显示全部楼层

FPGA上的RESET引脚是不是只是chip的reset?

参考xilinx的《Synthesis and Verification Design Guide》中““Understanding the
Global Signals for Simulation”一节。
发表于 2003-10-24 10:57:32 | 显示全部楼层

FPGA上的RESET引脚是不是只是chip的reset?

我记得在做SPARTN XL时一定要加STARTUP 后来做SPARTN II之后,就一直没使用了。
发表于 2003-10-24 12:03:41 | 显示全部楼层

FPGA上的RESET引脚是不是只是chip的reset?

apartan II和spartan IIE似乎都可以将GSR的功能应用到你设计中的reset上,没有去查很多资料,但是实际测出来的情况就是如此。
发表于 2003-10-24 12:15:50 | 显示全部楼层

FPGA上的RESET引脚是不是只是chip的reset?

摘自《Synthesis and Verification Design Guide》
The GSR pin on the STARTUP block or the GSRIN pin on the STARTBUF block drives the
GSR net and connects to each flip-flop’s Preset and Clear pin. When you connect a signal
from a pad to the STARTUP block’s GSR pin, the GSR net is activated. Because the GSR net
is built into the silicon it does not appear in the pre-routed netlist file. When the GSR signal
is asserted High (the default), all flip-flops and latches are set to the state they were in at
the end of configuration. When you simulate the routed design, the gate simulator
translation program correctly models the GSR function.
See Chapter 6, “Verifying Your Design” for more information on STARTUP and
STARTBUF.
Note: The following VHDL and Verilog example shows a STARTUP_VIRTEX instantiation using
both GSR and GTS pins for FPGA Compiler II™, LeonardoSpectrum™ and XST.
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-29 04:46 , Processed in 0.026465 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表