在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1878|回复: 3

很奇怪的问题。编译器不执行代码的逻辑,高手请进。

[复制链接]
发表于 2008-4-12 15:10:59 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
代码如下:
counter:process(rst,clk.ena,sop)
begin
if(clk'event and clk='1')then --计数  
 if(ena='0' or sop='1')then --清零   //按照代码,应该在ena=0或sop=1时候,计数器cnt清零
                  //可是仿真波形显示的结果却是
                  //在ena=0时,cnt清零;而在sop=1时,计数器cnt没有清零
  cnt<=(others=>'0');
end if;
  if(ena='1')then
   if(cnt=b"1111_1111")then
    cnt<=(others=>'0');
   else
    cnt<=cnt+1;
   end if;
  end if;
end if;
end process counter;
----------------------
本人菜鸟,能否请高手具体说说为什么在sop=1时,cnt 没有清零
 楼主| 发表于 2008-4-13 11:13:43 | 显示全部楼层
没人说话阿
自己顶
发表于 2008-4-13 22:52:55 | 显示全部楼层
把你的代码以及仿的图发上来一下。

这样很不好说。。。
发表于 2008-4-17 16:38:21 | 显示全部楼层

设置一个优先级就OK了

吧清零信号的优先级拉高就可以了,就是:

IF    ENA='0' OR SOP='1'  THEN
        CNT <= (OTHRES=>'0');
ELSIF ENA='1' THEN
XXXXXXXXXXXXXXXXXXXXXXXX

这样看看如何~~
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-28 09:30 , Processed in 0.030168 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表