在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[原创] 讨论电路除噪的方法 attach_img  ...234 wangxuede220 2010-10-8 358096 cwang1988 2010-10-21 21:42
[原创] DDR SDRAM 中 AC-timing中AC 什么意思? christing 2010-10-20 612968 yuanwolf2008 2010-10-21 09:35
[原创] 求指教 yatezhimi 2010-10-20 02565 yatezhimi 2010-10-20 23:47
[原创] CMOS yatezhimi 2010-10-19 01685 yatezhimi 2010-10-19 19:34
[原创] 请教 yatezhimi 2010-10-19 06600 yatezhimi 2010-10-19 16:48
[原创] 关于dcm输入时钟源的使用问题 hptsf 2010-10-19 13275 gaurson 2010-10-19 12:03
[原创] .sdf文件保存问题求解???????~~ 雨打溪风 2010-9-21 42678 suntou 2010-10-18 13:59
[原创] 用create_generated_clock时,其-source是否只能为主时钟,不能为另一个子时钟 caesars82 2010-2-23 54858 superviky 2010-10-16 23:29
[原创] 有需要ultra10工作站的吗?还有磁盘整列。 suntou 2010-10-15 12035 suntou 2010-10-16 17:40
[原创] xilinx终于配置成功了 zhoukkkkbin 2010-7-31 82410 zhencangyixin 2010-10-15 16:45
[原创] 请教quartus波形仿真时的warning!!! cryinrain 2010-10-13 12296 nan123chang 2010-10-14 08:23
[原创] 有没有关于verilog交流的群啊?给个QQ号吧!万分感激 honeyxuan 2010-10-13 02317 honeyxuan 2010-10-13 15:50
[原创] 我的研究生方向的请教  ...2345 378597113 2010-4-9 408652 kensou30649 2010-10-13 09:21
[原创] 请教大家一个异步FIFO的问题 attach_img xjtuwsf 2010-9-28 47700 索手锋芒 2010-10-12 23:31
[原创] Opensource EDA design flow renminggong 2010-10-7 22361 sain_1989 2010-10-8 10:34
[原创] 关于xilinx的EDK,程序挂掉,大家用过吗 weizhiheng 2010-4-3 32867 jeedtot 2010-10-7 00:12
[原创] 有谁见过这块板xilinx attach_img hudson1011 2010-6-29 44268 hudson1011 2010-9-19 17:20
[原创] TTL-CMOS电平转换问题? christing 2010-9-9 13359 christing 2010-9-14 01:21
[原创] 请问大家学习FPGA/CPLD的一些问题??  ...23 kuloloo 2010-1-15 235598 juncai811 2010-9-13 02:20
[原创] 谁有modelsim啊完整的,网上太缺德了,还分了11卷 synrenjay 2010-9-10 42969 kasim 2010-9-11 17:38
[原创] 我灌灌灌啊啊灌 水 synrenjay 2010-9-10 01795 synrenjay 2010-9-10 15:43
[原创] 谁有模拟集成电路设计软件 synrenjay 2010-9-10 01772 synrenjay 2010-9-10 15:41
[原创] 灌水 synrenjay 2010-9-10 02340 synrenjay 2010-9-10 15:35
[原创] 灌水啦。。。 synrenjay 2010-9-10 02060 synrenjay 2010-9-10 15:34
[原创] 求助:Cadence混合仿真出错 ieeepanda 2010-8-23 32584 风行万里 2010-9-10 14:42
[原创] FPGA工作交流QQ群104787930  ...23 dragon0301 2010-7-30 285538 dereklee0302 2010-9-9 23:45
[原创] Modelsim DE & SystemVerilog attach_img kingmemory 2010-9-6 32481 犀利哥 2010-9-9 16:01
[原创] NiosII IDE 中alt_timestamp()函数测量时间的精度是多少 gongyue1000 2010-9-8 02142 gongyue1000 2010-9-8 23:09
[原创] 请教关于DDS honeyxuan 2010-9-8 01775 honeyxuan 2010-9-8 10:28
[原创] 有个项目,为什么DC综合compile了一两个小时,很不解  ...2 雨打溪风 2010-8-11 144169 犀利哥 2010-9-7 16:46
[原创] 当电子遇到工业设计 attach_img ericxmg 2010-9-7 04911 ericxmg 2010-9-7 12:22
[原创] 扫描链 liuxiantao11 2010-9-5 32367 ineedpower 2010-9-7 10:18
[原创] 中国华为什么时候可以打开美国市场?期待 xiyan1 2010-8-24 94970 xychzkhf 2010-9-7 09:27
[原创] 多一种交流途径 sja_hello 2010-8-29 52000 lyf_1987 2010-9-4 19:35
[原创] 功能仿真  ...2 liuxiantao11 2010-8-31 123408 gaurson 2010-9-3 13:01
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-22 08:00 , Processed in 0.024384 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块