在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (125) |订阅

后端资料区 今日: 1 |主题: 5694|排名: 7 

[招聘] 深圳成都找后端工程师,待遇有竞争力 xuwumiao 2023-9-4 2543 xuwumiao 2023-9-7 19:09
[资料] Synopys installer v5.6 attachment 唐源 2023-8-31 5752 myron0411 2023-9-8 21:13
[资料] ICC2 stuedent + lab attachment supercell 2023-8-31 91132 tellingstory 2023-11-4 15:49
[资料] 静态时序分析经典中文版 attachment 鸿奕 2023-8-30 91211 hanggq 2023-12-12 21:55
[资料] 后端python入门书籍 A Byte of python3 attachment  ...2 鸿奕 2023-8-30 121109 392454082 2023-10-12 16:17
[原创] 112G VSR Serdes PHY ipseller 2023-8-27 3882 付牛青 2024-5-11 17:27
[求助] 产生多个SRAM的脚本 新人帖 木头人qq 2023-8-25 4627 云卷云舒semi 2023-10-9 11:46
悬赏 [求助] 求助 VC static的RDC user guide! 新人帖 - [已解决] attachment rongmashusheng 2023-8-24 2655 rongmashusheng 2023-8-25 08:45
[求助] 求一个RedHawk工具安装包和破解步骤 LuckyEDAZ 2023-8-23 1650 booml 2024-4-29 11:54
[求助] Which god have N5 PDK eda2022 2023-8-21 2748 eda2022 2023-8-21 23:51
[求助] gaoding mrjarod 2023-8-21 0414 mrjarod 2023-8-21 17:35
[原创] ddr5 phy 新人帖  ...23456..12 ipseller 2023-8-19 1144669 dabing3456 2024-3-20 15:16
[资料] 芯片后端学习-IC设计 attachment zhuxi522 2023-8-18 91167 ic886 2024-3-24 12:50
[原创] deleted post kahboone 2023-8-18 0518 kahboone 2023-8-18 09:04
[求助] Innovus运行routeDesign -globalDetail结果不一样 新人帖 无问 2023-8-17 1511 无问 2023-8-17 15:04
[资料] Innovus Clock Mesh Flow attachment  ...2 seulzren 2023-8-16 141815 赢闪闪 前天 11:01
[求助] lef和gds 偏移量 我要成为架构师 2023-8-15 1599 zyh10.12 2023-8-17 21:08
悬赏 [求助] 最近接触 PT ,需要了解单元库.lib文件的内容?请大家帮我解答一下 - [悬赏 500 信元资产] MAGA2020 2023-8-14 9797 flscut 2023-8-15 10:08
[资料] cdl语法文档 attachment Ashanner 2023-8-10 81024 ERCBOTHER 2024-5-13 14:25
[资料] 静态时序相关资料分享 attachment mrhe1982 2023-8-10 6803 猫猫猫 2023-12-15 11:34
悬赏 [求助] Cadence LIBERATE 151 支持哪些工艺库文件 - [悬赏 1 信元资产] edward_nb 2023-8-9 0603 ggk11 2023-8-9 14:12
[原创] 谢谢关注,已删贴  ...2 seulzren 2023-8-8 141197 bin_bingo 2023-8-22 13:29
[求助] 求DCT的参考脚本 新人帖 Kingjary 2023-8-5 0560 Kingjary 2023-8-5 15:06
悬赏 [求助] liberate 抽timing lib - [悬赏 200 信元资产] attachment edward_nb 2023-8-3 51044 youngdonh 2023-9-22 16:09
悬赏 [求助] 求用于数字后端流程的完整PDK - [已解决] 佳文与晨旭 2023-8-3 4712 Lotusy 2023-8-7 09:48
[资料] WGL_spec attachment RenFei1024 2023-8-1 1632 dcircuit 2023-8-1 19:22
[求助] SMIC 12nm Warning&Error: Illegal placement 新人帖 Andersonyun 2023-8-1 5881 csdnbestnb 2024-1-9 15:47
悬赏 [求助] 哪位有 Digital VLSI Chip Design 完整的英文版pdf,有偿求购 - [悬赏 1 信元资产] attachment yjy123 2023-7-30 7904 wangchenglong 2024-1-23 17:24
悬赏 [求助] 急求数字集成电路后端设计 田晓华版本的 PDF - [悬赏 100 信元资产] attachment  ...2 yjy123 2023-7-30 171738 313949724 2024-4-27 22:04
[资料] IEEE Standard for Verilog ®Hardware Description Language attachment RenFei1024 2023-7-28 4699 RenFei1024 2023-7-29 11:15
[资料] STIL通用测试接口语言文档 新人帖 attachment RenFei1024 2023-7-28 1711 hanxiong99 2023-9-21 08:53
[求助] 求physical design interview questions电子版。刚入门数字后端急需 新人帖 lllj 2023-7-25 0603 lllj 2023-7-25 18:25
[资料] Tempus最新user guide attachment blaton 2023-7-25 5869 daicq 2024-3-13 16:02
[原创] innovus命令手册19.1 新人帖 attachment 马奔 2023-7-25 71157 hotelgao 2023-10-30 08:58
[原创] ICC2 2018安装后无法启动的解决办法 新人帖 attachment LuckyEDAZ 2023-7-25 2768 Alma 2023-12-27 09:22
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-22 04:12 , Processed in 0.027534 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块