在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (124) |订阅

后端资料区 今日: 1 |主题: 5692|排名: 6 

[资料] 集成电路设计各个阶段的教程【很实用】 attachment  ...23456 liping09003 2015-6-26 5710657 品博锦取_2021 2024-3-5 11:33
[求助] 请问谁有primetime的描述primetime所有命令的pdf attachment thrallzy 2024-1-3 2407 haoshiyang 2024-3-4 14:39
[资料] 后端设计入门--陈春章《数字集成电路物理设计》 attachment  ...2 Anihacyo 2023-10-19 141105 jiangke199382 2024-3-4 11:10
[资料] 分享一个I C C2 2018的Lab和对应文档 新人帖 ctj930627 2022-6-9 91989 heavy_ranger 2024-3-4 10:05
[资料] Commonly Used CCOpt Commands attachment qtds1258 2022-7-25 71828 conniezhou 2024-3-3 21:15
[资料] Innovus Clock Mesh Flow attachment  ...2 seulzren 2023-8-16 131741 conniezhou 2024-3-3 19:57
[原创] DDR的后端设计要点 attachment  ...2345 yilaijia 2010-3-15 477990 conniezhou 2024-3-3 19:53
东南大学ASIC国家工程中心DC综合基础教程(Synopsys公司内部培训教程) attachment  ...23456..80 JTR6907 2008-6-14 79279574 ilxsh 2024-3-3 15:22
[求助] 数字集成电路物理设计附带的CD和PPT  ...23 fgwh406 2014-5-1 228854 cmmjava 2024-3-1 22:10
[原创] FA 芯片失效分析流程 attachment  ...23 zxfzpf 2019-5-9 213170 igolaps 2024-3-1 15:41
[资料] 一本STA的绝对好书,分享给大家 attachment  ...23456..26 wanghuiying 2011-2-27 25955569 392454082 2024-3-1 11:10
[求助] 求助安装了SSV工具第一次打开出现“std::invalid_argument” 新人帖 attach_img bin_bingo 2024-2-27 5298 kk2009 2024-3-1 02:12
悬赏 [求助] 有Tweaker工具的老哥可以私聊一下吗 - [悬赏 1000 信元资产] Synopsys-IC 2023-7-4 8938 大龄文艺男青年 2024-2-29 23:25
[求助] 关于Verilog导入后的原理图仿真问题 skyltlt 2012-3-17 56776 497514980 2024-2-29 19:02
[资料] CMOS Circuit Design, Layout, and Simulation, 3rd Edition (IEEE Press) attach_img agree  ...23456..53 固执的寻觅 2011-12-15 52084659 wutong56tg 2024-2-29 17:08
[资料] 史上最全的TCL语言教程,欢迎下载。 attachment  ...23456..34 1359784773 2011-1-1 33271204 esign 2024-2-29 15:48
[求助] voltus生成std_cell library,里面的spice_models怎么弄? 新人帖 attach_img  ...2 MWH_marven 2023-12-5 13712 MWH_marven 2024-2-29 15:10
版图设计工具Virtuoso的使用详解 attachment  ...23456..24 flyingrock 2008-6-14 23532501 yinxiang123 2024-2-29 14:15
[转贴] IC设计中的功耗分析的流程 digest agree  ...234 固执的寻觅 2020-1-20 3926623 yangrl 2024-2-29 10:04
[原创] Innovus dbGet常用命令合集 新人帖 attach_img ic_learners 2020-11-25 916276 bin_bingo 2024-2-27 20:11
[原创] lpddr4x and lpddr54 attachment itzme 2021-11-28 82668 im.leo 2024-2-27 14:44
版图初级-理解版图的层(彩图) attachment  ...2345 zjllh 2009-10-15 447476 品博锦取_2021 2024-2-27 13:03
[资料] IC设计完整流程,快速入门 新人帖 attachment  ...23456 wudi123 2022-9-25 535918 品博锦取_2021 2024-2-27 13:01
[求助] 关于数字IC后端综合、版图设计的资料求助 njupt_nzt 2024-1-11 3473 njupt_nzt 2024-2-27 10:25
[原创] genus command reference attachment  ...2 3456721 2019-8-12 165292 jdk 2024-2-27 09:55
[资料] 高级asic芯片综合 新人帖 attachment  ...2 zmf2022 2022-2-3 132112 bin_bingo 2024-2-27 01:42
[资料] 发个Memory Compiler User Guide,需要的大家看看 attachment  ...23456..13 bboyxiaoyong 2010-11-5 12931286 haiouspace 2024-2-26 11:19
[资料] 静态时序分析资料合集 attach_img  ...23456..18 haonan 2011-7-16 17334706 SLW0706 2024-2-25 18:28
[原创] vim 学习资料 attachment 大龄文艺男青年 2024-2-24 3480 bubblue 2024-2-25 17:19
[资料] astro_vZ-2007.03-SP12 (AMD64/linux) 版本 attachment  ...234 flatman 2013-3-6 337871 lvnanll 2024-2-24 15:03
[原创] 导出CDL时修改电阻,mos等Cell的CDF参数的方法cdfDump和cdfDumpAll  ...234 真我个性 2014-9-29 3623888 binnq 2024-2-23 16:24
cadence工艺库、sunopsys标准单元库 attachment  ...23456..11 wapoca 2009-5-25 10622786 binnq 2024-2-23 16:19
[求助] 求一个milkyway的安装包 新人帖 linfujun 2024-1-3 2395 flatman 2024-2-23 10:02
[原创] Labs_STARRC_2018.06 attachment  ...234 何军民 2021-5-21 306265 hxj382125921 2024-2-23 09:40
[资料] 1A,带均衡充的两节锂电池保护芯片--ZCC1130T attach_img yuexm 2020-8-24 2997 Voila_r 2024-2-22 14:22
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-12 05:51 , Processed in 0.029781 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块