在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
请上传附件分包大小设置到64M内
[讨论] DFT需要前端干什么 scutlee 2024-3-3 3421 scutlee 2024-3-13 23:21
[讨论] 为什么我们有了静态时序分析还要后仿??? scutlee 2024-3-2 5389 zhwei199 2024-3-3 10:10
[讨论] 今年适合跳槽吗 liguchu 2024-2-22 8752 mismatch24 2024-2-22 13:57
[讨论] 在存储器构建中,什么时候使用寄存器,什么时候使用SRAM xujieming 2024-1-9 5425 西西在冰城 2024-1-10 11:09
[讨论] 请教国产硬件加速器哪家好用? open82977352 2024-1-5 0293 open82977352 2024-1-5 10:03
[讨论] 提供~~~~~~~~~~完整的芯片资源,加qq:674762564,微信:chip123chip123  ...2 chip123chip123 2023-8-22 121006 ip_qq3010583137 2024-2-26 17:49
[讨论] 中国高端手机市场洞察报告分享 attach_img 芯电图 2023-6-21 0608 芯电图 2023-6-21 14:30
[讨论] 单独ADC芯片测量的环境 新人帖 limeng147 2023-2-13 0883 limeng147 2023-2-13 20:38
[讨论] Ameya360:电子元器件7大常用的封装形式 attach_img Ameya360皇华 2022-9-22 01086 Ameya360皇华 2022-9-22 13:46
[讨论] Ameya:电子元器件热门搜索料号TOP500新鲜出炉 attach_img Ameya360皇华 2022-7-11 01071 Ameya360皇华 2022-7-11 15:28
[讨论] ARM SSP (PL022)求问 Topiler 2022-6-13 51354 Topiler 2022-6-20 19:03
悬赏 [讨论] DC中synopsis_dc.setup的保存问题 - [阅读权限 255]- [已解决] attach_img 北风1号 2022-6-5 5275 dcz1028 2022-6-6 23:40
[讨论] verilog学习 新人帖 - [阅读权限 255] ...2 郭宇 2021-11-22 11832 gaohua2099 2022-12-23 17:45
[讨论] 这么才能删除发的帖子啊 新人帖  ...2 佳文与晨旭 2021-6-25 102182 robberxiong 2021-6-28 12:48
[讨论] 合肥MTK和南京展锐如何选择(IC验证) q1297612794 2020-9-25 31605 A1985 2020-9-26 17:29
[讨论] 基于Xilinx XCZU5EV! 米尔和百度推出EdgeBoard FZ5边缘AI 计算盒及计算卡 attach_img limubai 2020-8-31 02083 limubai 2020-8-31 14:48
[讨论] nvme的官网地址? dddk 2020-7-19 11666 ericyuhaotong 2020-7-19 15:00
[讨论] FPGA开发板为什么要使用SDRAM attachment ramsun 2020-6-28 31758 zhmmhz 2020-8-5 16:00
[讨论] Xilinx FPGA提供DDR4内存接口解决方案 attachment ramsun 2020-5-22 71777 ayamitek 2020-6-29 13:03
[讨论] Xilinx Kintex-7 FPGA开发板支持接口32位 DDR4 SDRAM attachment ramsun 2020-5-19 11570 chinest2010 2020-5-21 22:26
[讨论] 支持Xilinx FPGA中的32位 DDR4 SDRAM attach_img ramsun 2020-5-18 31571 besarkecil 2020-6-9 18:04
[讨论] VerilogA中,如何写串行语句? 星辰0000 2019-3-25 21667 bt_zhao 2022-12-20 09:10
[讨论] TetraMax生成stil以及wgl文件 zjl840928 2019-1-30 01866 zjl840928 2019-1-30 15:26
[讨论] TetraMax生成stil以及wgl文件 zjl840928 2019-1-30 12112 zjl840928 2019-1-31 10:19
[讨论] ic设计成长路线图 hhc789 2018-12-29 01889 hhc789 2018-12-29 14:30
[讨论] MindShare USB3 itismejust 2018-11-19 93502 cfly_chang 2023-12-6 15:15
[讨论] IP核SelectIO生成,校准 attachment 浪海 2018-6-8 32080 chinest2010 2018-6-26 17:59
[讨论] 基于FPGA的LCD高速信号测试仪(MIPI-EDP, 测试iphone-ipad-NoteBook) sbogwxf230 2018-5-27 12178 ikanbilis 2018-5-29 22:54
[讨论] Does Synplify 2015.03/2015.09 has Xilinx Ultrascale device maassmama 2018-2-28 32379 smh 2020-2-24 17:56
[讨论] IUS8 spectreverilog 仿真编译出错 ahwangml 2018-1-12 01830 ahwangml 2018-1-12 15:26
[讨论] VIVADO入门流程参考 attachment 浪海 2017-10-12 62381 cintasayang 2018-3-27 10:07
[讨论] 提供vivado 2015.4上jesd204b v6.2的lic激活文件 glace12123 2017-9-19 11602 h9f3 2017-10-12 21:54
[讨论] 缅甸钻石国际开户13378837779你可知道你的照片为何这么灰 attach_img 人在旅途啊啊 2017-8-18 01388 人在旅途啊啊 2017-8-18 12:44
[讨论] 经过一个月鏖战,终于将virtex5上satahost主控成功移植到kintex7上 huhoo 2017-6-18 52318 dreamfly123123 2017-8-29 10:56
[讨论] uncertainty一般设置为clock的多少比例? 破红尘 2017-5-24 12146 sayangcinta 2017-6-1 10:40
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 04:39 , Processed in 0.020513 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块